From 038c5eb837b64393d02d3b0729c5d2ebb87a97e4 Mon Sep 17 00:00:00 2001 From: Philipp Hachtmann Date: Mon, 28 Sep 2015 17:11:43 +0200 Subject: [PATCH 1/1] sw: Added FORTRAN IV and Spacewar Signed-off-by: Philipp Hachtmann --- sw/f4/bin/AL-4549D-BA.tu56 | Bin 0 -> 380292 bytes sw/f4/bin/AL-5596D-BA.tu56 | Bin 0 -> 380292 bytes sw/f4/bin/working-fortran.tu56 | Bin 0 -> 155832 bytes sw/f4/fiv.tar.Z | Bin 0 -> 524201 bytes sw/f4/fivssm.doc | 6068 ++++++++++++++++++++++++++ sw/f4/src/AL-4545D-SA.tu56 | Bin 0 -> 380292 bytes sw/f4/src/AL-4546D-SA.tu56 | Bin 0 -> 380292 bytes sw/f4/src/AL-4547D-SA.tu56 | Bin 0 -> 380292 bytes sw/spacewar/hachti-joystick/SPACE.PA | 2531 +++++++++++ sw/spacewar/hachti-joystick/pdp8.ini | 4 + sw/spacewar/hachti/SPACE.PA | 2437 +++++++++++ sw/spacewar/klemens/space.pa | 2527 +++++++++++ 12 files changed, 13567 insertions(+) create mode 100644 sw/f4/bin/AL-4549D-BA.tu56 create mode 100644 sw/f4/bin/AL-5596D-BA.tu56 create mode 100644 sw/f4/bin/working-fortran.tu56 create mode 100644 sw/f4/fiv.tar.Z create mode 100644 sw/f4/fivssm.doc create mode 100644 sw/f4/src/AL-4545D-SA.tu56 create mode 100644 sw/f4/src/AL-4546D-SA.tu56 create mode 100644 sw/f4/src/AL-4547D-SA.tu56 create mode 100644 sw/spacewar/hachti-joystick/SPACE.PA create mode 100644 sw/spacewar/hachti-joystick/pdp8.ini create mode 100644 sw/spacewar/hachti/SPACE.PA create mode 100644 sw/spacewar/klemens/space.pa diff --git a/sw/f4/bin/AL-4549D-BA.tu56 b/sw/f4/bin/AL-4549D-BA.tu56 new file mode 100644 index 0000000000000000000000000000000000000000..e6b1e6c71ef8ef81ef34f0b57170cb530a11e51f GIT binary patch literal 380292 zcmeFad3+W{wm)25T~BpaRX^P!c>)O_BoH(pE`SQI1Vk1GkR>ASxS@`ypeTx7c_J_p z1VNS&T&{6PP!v$yK*Odu!zLh$ID*K&F98DC-tXy7z?t{Xd*}1J@8|vFo!d!wS65Y6 zSDiX_&Z%=w)x+5T9{=tTCZprc(Cd}0wS)`^dteSsy`h@^KWJT45Eh4IBMIIP%7lgZ#ocAay1><%w!SSWW z6cfZA(aHl%s_zv3S5C(2%OXF?C&?;43Gk|5(}JWa=VdBs*4U*c#qGgsyMw$uR!9UL$c+;IiZ@M^Tf8f5)KX5;A3733%LcODps~Nbzt!E(qmGF9uN%7AK0xX+K2d&-d!;&R=GpVaapi_z+vO5&95%b$TwJsK++dBi{8M%m_rBca zCjCR)mxp+%v&)?!XYk`n_y^Sve4DPD^!t1s%Eh;Uz0<`mgp+=i^@@LYe5&7&P4|oa zC4N@0LeC9e<;;D}f5T6UQC+K8ZZJwGMJ2Cdm8h$aHd8z1xD_f5css_F+hr<^jWMUx zqwz83q&f>@>S{mfpHf5PrO5fLcS0o@b1!D2f;Yq)H8E}!GhIwa%yH)!M&Vg<4#Fk; zs5*jkxc7zm&?SgVzZmiOHtL=gED=>~i5cw_`M=2wnJEveL#W3Feb8BM3j721K|p1j zPQxhrO>EN%{)4W<2=3~m-l*9Rr}1aE`xmcckaRQcxL#p53^qH@1-U-c`MP`T3U#4d z#M+|$Bb<766<|MJzrRz-d14U@uRR zKB(m1bb+_W-pzLLJ?OU$=07##Rq-9c;b6Te_Ag{iv}JFwOwq<+@rqz`JYeI3BunZC zV(+QVPO6s#4uI(X&kr{&LNNAR@Z8@~f>Hh$wCT+8toNMD05gZ}2!?y#7-qF{w#YHw zeEy3p@aEYr`f*C|18C@Tu8XSGVLYJlDDWwRa7vVd5-8<)k1*%|`IzFK`J3iWb4THi zu`E6wwf+0!WN;rF_Pg5SBjJ&4pC_NPpLT}YjlemCzbY7NHwI4LYjVtB>lAACY2fn5 zz!&NGIH*J!Q86KG-Dkc3Ab!B(sBvqf_}jr-LBHU9t7lNfna`|WgEs8VfFbr!@OEH% zYgk!O8cb&ktP|EK>yTyJbAyvidhc6%EC(a@EB?GS!Fr#Ka=HB*D-VRt>}kxh4+ifB zC$0Bb9A6)24P)=JWRS;xV0T+ZEQLL49S*hxZRF zdr9YcugF{=&ip0)>XzH*qvao&4gPL-yqhg4wg6CT%HGv)@ZsLm>J9k@zP#J*;lFNb zawG!~aoFWJMBnu%GvS z16Gvt5@6Jm7(d#d66`kgRqCI5C^LHMff#23hHevZtxn}gE)gfF;$52OnJLAFr->@Er+f^q4mumNT;&yhKNpvv+W^1l88 zl%MVV>}LCm@a_iP$bNt=PpL`$5s~MeUpM{-|v; zeh<&a^=_Vv?{70x)f!XgT^=v@u86yF6(1abES?W;4+w;mGtZykKCc(J<8>3B?cZe& zLVM0ei0%&qPFcbGx`{j+u%cGpX_^8`IWi^4@U@?75gpA1N1b3MhywqFY|0;C9l=pg zpah5K2A^xXJ92}6$i2h9$nP7J>NftlvL9eO0i_3^oLh8}AK>|Rem3B6jy#9orn3D2 zbn|ce9C5Z-ruVo3p!+MzI$JIf3(VQv@+qzfZz9hX3sJvYA?LlX7ofy`=q)O355l+b zV}Nv1h4ByB>}GQaag2>;FR}@2B0?EfeQT5&qn^%6*cKDTMvYXvpHUi8j ztw`U5(NPYHnk2d?mV(^67^8WT#fu?e2mTdQ7Hg@_Va*v5SToir@NGMG1osN4wE3i|n3y_oOJ!22Ei_pJq*=5}FnB6wc?3Cba+X}9*SiCVm&$-kA zKejK?C>+qg+-hcDWna!O@GrBkl;_!(Scs3Ehj`pU@7h@BvKA;cW?f;o;H~Tn=^MJP zeTCK1zR7vD-cq=)@j)RkN?KU zR9PFimo!tvSlt3=wm9V8s#=Jt{C3~~W1yS=^tk4qrqm>~3IlC$1r`CU;yrcEowsJ8TNP zpY2V~&){Z1IX{c29)HmV{$A%rJ={BF3W65wJXV2u^(66vh{tN?@^q(p{6#Do9OSR) zY*ru+#aa9+cDtRxXl!8juwMw$>_SKpqpY`r5kV4=?9OAcCDxxr_t;u?9r{4|BSlv> z*&1%E*b4Lp_gAtWcFdNtCWnJ@-#!+zQ^nO*Z+;#BlUFXs6O_JG_t8@*AN&vw_zb&wAY*HUv&W+G-Ip5pRm$m}%IXX9$@ z?Q%B95g=(D+rGhT=pupRXe2jhMJ`-eli(JTL z|9h}1%m$wahF02^KUxm=p0`H>N?EKbc-pJ04ud|_S7kx5@zs%_*iTU>aZSaQnS?4q zxHhiLWT;)i`CbF$oNltfVSwD2b3}(j+@<>P!!Zy&)MLkDDb;;&2^%Q3#Y-VNGxh*G zAlC7A@y}x)#(rdJGVrd`JA+H&)2-J;<9JtpFuTX=ZTF5n7W^EP`v?7ZWQMcKdo1SI z&xk^{gsotU*;rPH^W~b){*!ysj0sZ;y=h{Vn5I_(Pe$ui?pt~zeQ22xy9?s9l1r~au0Gg^z&^xUF zrCDVPy?u%}^P8CO9)qM-!f!(9d*uqT!k&!lO1Vm`LLVmoq1B{GEAW}A0Ds>R41*YU zmvgTfh93A5ElY~S{@1$9J7DJfAI4`P=E2xuq!o)6{$WgT+F31vIbKW5B3|Y#f{(p2 zgvKE>z?&Wa3h524wm}Kvio{dq6L610{*;-Adr#b3>!*?OkbcVS)sLWN{p{KPDgP6E z~z#fzim%aEf(;1@SrJ>}6?@;H zUw+~xB48OoKe1H4$8HQ3$a9^AF|Y=w0o(3aA|E*2maTK93Wu$9A%nz{v9qk5vXQ#m zPP+Bo=iP?ZMI5q+dy%`@;r3LwFaO4iyGdZ>Xm6OBs=HzoR`G7&FkOTjOww_FhhNF3 z1WEmjO4vTQQIs#~Q<^X1+?5r)i`<1-0j11D2}Qvy-5n(~67S)jB|p{l-c+3x#=W6k zyBw5e0-pEaUL2+-QChZNhEo0md7oC7$iDtl>IckAFOjGCP}L0QlgbeeuZ2;ZEuT?; zu%A$eG0Qxx_oI}@aeqdwvKxuR`Z1L)pHQcQA*x@H^dDDOLrxj01{;chQk9~{C!mq3 zGL2aiw%EHe_&RvPuY>NvJR1_v^ZIVz1OIg)=k$`jOb+JPkE#he2VY5|Y!6y%%2^cr zS-T>7;~8K{3f_4HbGS>;{@J<;UwlLjRUUL$7bE5w)xo-0zOFrSv8+Vef68+Kx2Uzg zO|Y7W8T!TIDfPWBLprs0uo+?V-rMM-Mg+t0$1VG%WWSwbmMJTCfXQUuYka>{QT@ogE_RL#i+4 zV~?u`%|KOUc7m=aQ3B2Qx9De4lVbg(+AN2u){J5f0b4!~Y4%)Qfz(NQb9fz~I?8f2 zUq7U7KxuKz^=St7m})|G$JvpO(VN92o#k(aEIScxct*V>qB_n;tCj)=S>OiL^JBpu zXpZ;@X5#a8N0c%dvDA)^IH?w8Vft85vPUorov)Mj38V}*PpP9ig5>eA6;W-*=qFIK zeVDz~Mw<@?Em?lBR_qNDp@9CddPv zdPtp%=O}g<(ub-6=>2lkgG!l&HVrmKz@Ra}QVG~oUE{!)M)DJU=ltM7^MGo{@*o=y z#`EQP9-@}(htaR8?EA1aL)9N~|BEgIu0=V@6sCFcQ@p-+5+!FsGkVIT$~2jfwPhWd zF7H=|^nENxmMZ#7=Q(^kG|H(m!P8_qPhb?B?`_ln0hu{z)n}uvI&3}&-@T}D%dkEn zLZCkPss~j2({;;58pT{4#uWJ1O3E<=81okTVXYpfRsjw@p~V@XET8!HCX6c5K5qav zZE^~{2NZKJ1WXA(X|`dUP2lX`=uPrVZIGieW+{zc$(`XxP z&SU4ZTA=rzf$GAh0Pffs8iS(XSyLch(39QQcoI@if$YqofkzvX;#qu?u}K&KZ(#&X zl9kZ7B=O!``ai`NVzRj#_4*b)bw${hAL?S<3%m+^ZL&Mso1t7#+yWmK4$Nrit?0=P z=lgHza!@J5VI#!Z7Uvf@ufq8a&SISHaJI*JHO^~s{sHG`@3Nf!QY&J~gKvzmiUBUr^PectoXqK*&cVg5O zdQCtTtDqCw49(f)@)y~fUm*t~Ws$xzqy^djl`=rT26hh4Cg|TLusk$znusR0aSTF5 z{^jW3D{$uEEby)rZ-k?x5;!~pz1bTze@1o1^-59m&0<)JF1MG0`V@FqfPP$#bBspG zs7bMI&3}OuKPaRwFM$da_*Az-?{Ztq0$rpEp)UAKeYscH<0-)&o#)r(u3t}HhU;ar zzSyoW<6F#sB{ducm+K20pt13kniO)f{;2!KVq8eyh_1c{E<}7~H2BI?_gvW3hQcz) z*tvkjRPyCggaY5p(gyj(@cwDVDb5H`&;I$apYe7Nx z>%Rdi`#`bRnze#>##->fp^Es!F5p@KO8ktPh%wL#div!7LN7`*uYz}i7Wrp=li$hiQyxy^kaoHWdOZlK zV1d^}EWud$4b*{VY7Z;a3iThs=qh4#og`jEyGDq=hFo!#9u2E!vYMk%j}k{E4nq{4 zsCb9pQE|rJk2QmKG(TX@g%05WV?+a!rWUUP-7A*Gpwn-Iij&N@5cOIJJwqf56=7s9 zwC`aH1!>2T7jzzihBUR5AlwHtXU3O^EH$O2}P8l zZ|PWQ7t4i$axRbyL5HI{(Km8~ouIugBt#__gf$|~M^vM&dZE~$U(*@LQ;ZT;o~{Mu zrf;hdstd#c{TXD8h-RJ(E^wZ`MI}AXQp2w&foUZF96*oefreE@`c`#5^jNo;Th#@SzHR}o zeyNY6SC0WdZ&eqDY4pt!V9`;GrQ6gI;|532bNRTYLZV88M3sPaeVf{=U1;b?&e*F{ zc{);RBc%?cz*N};ygm!OK9Xsn-weO@j9LcEz%%MP`)2c|{7i0@eS`6`P2fSxagNg- z`r;h73KqWWLmcR@-qSas9%FTXH65X82tAC@jr<;9-W`z3-qZas%By%&$hLEV8%<;r zr>Tm#E`^%d!~y1tS7^-fo57)L$pPvX^luJk8Piejd-@(W6VH!9;#mM3{|4}z1}pP3 zs+&zx-2%vekZS=Qk^@(v*YO0&bSdJ)Fy0-4(S4G4hHa%3QsowmlC^@y`&x4XwLfl- z@jrtu?z9ifonnaEX%6EHI|X^7^awf!^Wfj|khGv1XbTwJ5Y%HyuQ;Gt+%sy8xEb>R zP(1YT5Y-nHKP#v-y?6yms5CjC0_8jhBYG;)w~)HI0o9A-@|z(^C-p0#EK4&2djBLZ zJzd^=`Zh>f z6xJ-(%JhyEIM)XaAPuKDCGliP2dKRxf%%Jo_gSDkayUbogb}m|SW${A zLHku;^CI*Tc^FM|i-DcJz^Q3u7I;(_j0aN!Iuga?2GcNZh(AQnM=`tlT2B+x3}#s3 zLtxD+U}`Gl>;^o;pVN@($fa#f$eW?aF_tt!G%&`A6# zEH!E3kZ4Ftg}D)EEBSN~MVbQGV76k96}TF@mpfyT>hZDgL82MuSo>8x4^wYr6!!|= zMvKc(&g>9=Z=1IT{*Yb*sbhCIf1r6B;mgi&2DC#Hp55f$J?>OEm@Xp}h0XFBpm&8T_YY*NuNUBLYvH319ldS7Abb$ zz-Rk{238jI5B)+2cuo~4ve7-R*YqkA;)r97S!ok z5S_D_i&Np$I1M}!JjBG|36g@d7B~tp6Z8HeKMp;|oA_Ry-;ig(&qw$TcpXq};h)88 zgMtgcK2HZV7k)jS00kG2;0QX?%_@79$c4S;24MSRu<&^Nn5t3>O@$~?NsQYpb`<4q z)Nkt}uq+>eO?&`9?0hKS*XLPvV683+el>OQ%^rSTaXtFJuKd;PF-7VZ@eAxI2V>6# zz4?ydh2X1LYyJnG#nv0mZ z@>5(tm7n1HiJXJ$9M~*`KU;pGK7-7diGH7rmdrt`K0ymVMQi7x=2)S( z>BaEk>}#5-R;DUw4357{m&zs>|HLhK=q936EC$7ST;=mp*%yu_3w3fM;bI;V*v;8s`^t&#&a6Qbl>%-D` z7JM)p$PC_4R-vydan_afWPN#-Y#{d|wo;APXQOA9f}(yEQrU&jw3LGnKBgX4xoB$= zRO)Q;m>LHv8$EA!SLn^~VM&VSu>Sl2{7#i8ArroUa!Nx;p1`PE7X$A@4CW_*?GVtw zWAHF3f~=4coFh_#Yefl02~kGUAsxlo7;GA`vHFUDv)(~p)5>&$-hZ0YD`=*+VH7ad z9IZ^6>sdqDoOkd$V7}72wPFh zaz$;;rBsaAnecHy3;4&nIV8Ck{!&x8aSvVWW zhLA#ERCC~=Qp#tec4=rEXbxsM2|(WU(MPyKAA&0|4A%^qDmt+hs7VIuoq-gAl-jt~ z#v1}}BydfHxSb}q8OfR%W|F|aUb>IIHiQ81A0r@*&qUsj@Yct8e-=ub4H;-pNb_oN zqf*U8jb_S^@a2!>$GCnhXW=>vBf!Oo$OtOb9`WaJOqBlv_NM^`37qLTYvZhgGXrp4 zr+@O(z++c}cl~23FesQ~$}kHh>bgLuhTe#$_%1+ox6Ff=$}W45zsvd9--Wo{;upOE zkf4Jku{~yw=&wpa4GxRLCc}F*I1Zfu5fydJhceieF##?I`lRqI(mjQ1X|>VI?ZoFj#iW7go$#Hj)=5jZ$f6U!r7f0YTNp& zA43^-J7mobhGf|77)Kk-jeLV32#{{A1ZOJFG@J>XyNt~)A)4(~U`~|6(%8kU8D<7+ zLGnXPM;}av^i~C_tjbh`GtVkW_|bEuJ)8*{C@Xjw64L^-GZzvq)vi>%kGUV@7_`dr zp^=j_Q5$-5E+`lHxTN|-THNE98&qM;X2Ao8WbG1o&gRIYkhS|`Zg>RnXo@*$jgBjU zr%iam2!O=>Eo^cNbsxZXDLig}0lpkU{K4vTrapkW?8294LWe$7-2v$!sV{;AkmY8v zMWz<}qxsRa3FgCQmg8o#etbi)!R#`9gVohILzwXIdwhw0>Ip7Rc8?_ZUr{hle+C-| z=|v`n){Y!8Lie!e0?IS>b@r#oF;maOO!^bZE~CBAm4XC6!~VdTAwCcvinlQDonul& z3L76pWhVWZIvL+*`UO`8MWmq$VAX(6V`2PbGuN8sj@5H8(1Ue{!ZA=VSA! z`PlnPt?<^yzH^sgRDKkN<4bt-tyv2a;Bi1&yaEgFkSRJ z%hB;_TgsE_*y&kC7UY1pL&-auRnYt~M?Q*KbvCqR(;!Ji@kN*`y5OuemYo9lXKw`G zErAYq4co}RcfMm)VzC$X!YQ&B#S7t?I|P)l$RCHg*O4z^oJW6^cq0AEa_D}pCS4Eu zdKhMS15`WN4ian*bU_-rphB+>$E*>lq-FgJ^yZX@(n(uZg!iMFBt|=CE={0MTn;;X z6JSq`UdZtF;C_*nC(@=g5sRRayPEHUHtiYZLOy>;wM9vHqb8?L!zCA~IdTiWy0sRBQkg^U+_!J`Id5< z@f@9LH?x{^rq8vS1)-6As^I zN`hc46jGzZMqXyy(0ak~5J_haLD`rPkvu;B%Z4h#TV!cIYj}9t~kiZ$i2XDuN+)5?)?aK{-Oh z5&Fn^3~7|&@Jhs0f%?=u)zZ;(MG%!mbzy9Rb6<7Yy>)NMuD9T-IY%kn+>mT(7{XNzLthPWNp+!75-xvtWdkwzOIRBb5W?C{@1iLr_LIXwk!9u{6l zhgYgCL6lMlgfRnjWiS+)bIM7j46%m@f^;SF4MBYQ&Ts}N#7oz_lg<_ zM)@N6QF>Hk3JpXnqOV2ujoz^!kuEV7TWbD_>k6|9*EMD>t{cryxc*|c;QAZpE>SHH zgtZ)|-$RL`!z;loimj;^rBOJFjcPz~12LY42+B>h9bzBlQH+K+ZWQNvfmh2*cy+wG zp68u~aF*8?9y&Q*Q}0}_xp#qgzITz=#%t|ehIGmsKaGnuJwzT0!!%t-hu6x$po9To zxTc1bx*g{LeFfS@kRg1d*n!no@)r98(t3G)yuNs!j$Yo)r^B~-{k%J>2Dd7MUWotwmukw5O8i%@giW9)IRDin8qfb~ZcM~NNN)AEd%L|@e5<$3+v)A~ zocK0xEB+38I*i-q9rirLmEdoj_Tweqar`~0Q{u*-JwGsHfD(WLo_5J%6@({l7Ky-B4;^pdVo*%!qxieDPP5}tsU#4m|Y(U%}Z{YRrY z8a)$H)5_pN#Md0xo(^}7Q(neyh~E&uDSivCH{$P>_-(jGDbIy(Q=Y5&S^8Xafte#3 z>0EP|`BvNlVYRmEJzgCv!#dzyVjY0j`P+J`o~4&aMlCj|!F-cM1F!ySc zbno|aV*U8n0!tX&jgEl3*w4<*c265BQLmBPz%}l3B7F#JSi@nN9M3Q|7Slr-Dv1Y=@t-VOf_VH^)67uY2);m@*zMO4_<$NUY=BS*f z`|GwSAzDpc#UD|XIN^r?`xtpBB@NkhEq^^`Bk##E{8ebB-UfWu%eV178P7z0*JF(y zJ+HUdi}j+wdqk}VPOXRKC^vZ3jKO;v9>3Zz(e=QG*BjQW5$^FtC;s^1xi63&lM9YstPqiQO*`x9*m2_zs?AP1G z$=GjLMN-O-$W7*i`j)5;Y}rZldmg+}?lXI!oml9ypo-1ad#w#YzmWD!7Fcr(&0>{7 zS@5hPDXS3vB9rAP{%84T`_Ir1R;X)2-bgrI=vh8i!`bJE=b*KJ3#8|6=OliXldxOvuh zIG2TY7F*`B*b+BSa8?2uJB)n@E!!T(uqvCeBj}NJZdbGndn&{WEOIR#V_CRP#7Yus z@p!=EZlC;?s<;mg@@&lgC!6|wwliDIw%>FoqgIIJ*PtdJh8ES2bx-xAp6!0&zG-IT zgm1VSVqdT7voBm)OHve+T4Taow3?st`AKna(#hZnX(>o)j{r_>TKv-Ys(Lj zE9oB685ju}u&8%{kMUOE$yVUWew`t=imiY~u^q)>zYAR~sI;wu@QPBmR^t+Rn`8ij zzEccOop!Pqdj;H}@@=}VwHLbyaU6a4Ej+5R22*?;Z-M=WHNm|KGjZ(!iZK&frw=6$ z63`|sM$dY3lwJ%S@t^b(glVq_%3m4gzZs=G8EW0(OQYxOFLg22L5|W(L0rc|KN5F`vr+>k7KX}#l2Q0B;h$a~AFg?``@g3#{ zwazNw#lUNrMcE5hC*U|^?c?79D(C~dA-ty=!ggB)+ij((z^dzcIu~}ia)ip@my`?N z(UY(U5`Nwh>i;)Gw@y!`pziPLJ3$YAg6B*NSd9|+?iaA=CHP%{QPLztBJ_^C8!$_N zI>1tZ&}#S~WXp#5YD1LT5V|)|Q~Z=sp z`D&18%I`y+n~J30SJ}dbXMYmwfqnU=TZNqYYJy{|)r{4uSq*y#?s=euQg0ckYCwvexv*T7&BWkMkix5HC6(<(-ri1COkrm3G*h;u@6O z4R*d5nb2i3KdCUdtw$%1BCHXI=Yzqjc!RMX1=f~x7n>jbAu$EPPGjeWrHjDm#ZNAybp zHP)wqMK{352b7p^2~HZ~{X|c{)OWD9SOIX8>aF%dCa8`0Ow@^LK_yPm`RWA+wGBt! z+59+4jM{Y!Fy*!P-|G3d#VfdFFF$(`Xh&+5*Klii@gbwrY#5GFF0HA! z8eS+w8>y^1=$$%fG1-qEge4uT($FT&GppM~@6l+v*dmX=DwAp7ukHn$F1FWWbmS`s zyJFm~)>rd~N^rz{HQtFh9*wO6?_TtI1$YOQ7&zzi05+-fd8Tu|Xf4Wt%L#CaN8sH` zoThs?HzJC=#ohvni#xPFEklfNhxY6>?EtMuLC5wQ<}G&DV&yfan&Lamj;71cozK}$ z^a}CLJd3UmSjFB9v(IDR6s*zd3ONC*qG1ou!%hZFu6Az#E-i6K*h%F61IE-67fT#s zt6d*h_X4=ib2y3nJjYpV49<}}PZWR_PlRvYM4rb|V?9_gcr;;o1|Oh$Kw?Y)!xMma zJ;2-H5qwE1ScUaSbs$mDnrebsG%5%;J_jFp0DOe#R|4=mgFknJ^Je*bA*pmzlrtfA zBOk3n813Byv&%0e{rHE>L+H0v?)7X5MhNW+P=$I_@P4v2cvBn;x}Cfe9T90oB;L^ zr>z6ZmmaqCF0_F71yQ;Isz0Q$+VUnpJ;WWX+xP2$s%-=u(t#O~yp)an1zsJ8MoVp! z+ep^2A6Ac|R&^jF=K>RU!%_*{uoI%Y$`w1|+nkAcMFN!aLtRhIfR^Y6!`@fy zq%&8)?2wkJCE7U>QtY2Fj_$y2BERwbAQ}ATKISAbd#S|JWXQh1xev&s-x{O(Ggxg~ z%S+%JMBYFNc>mC9n)FbT7*j0?rSp8$ocbXg^`U%st7;9O_;S>+OpW$VRj<3DzPSwj zaMCOc=g6fYo?pXbk(JaT{|T4Jq>X!lm*9`7$5bc&2%fuR^}r+Yag5DcdZ}T6IQiAy z9*%Z`bq!@XBbEJTuIHCox9SJ2;^1ZrtFocZe*k##gmLWvt7R^MM~lK)&W6eK#!WUvKSSiMIfBy~0z=d9l zOab2}Ph6sCOR>rdErb7CDeUA4Q0Lje^%8YXypP`!`0|4;!3wDobtCTQ`$x?Y`>=Be zr5pqd4mji;nHI{=8_gD%cIis7YdNX#6W(I>0z!{NGqS^sjCnyjbF()h{u$Pljx|Zm zg3hdWtR<@-_pLnnsCBpXVDOSvh>=}|k+eKsslJO35|8tIwKYyyR)`%lXJ1^ZKF%x7U`hV(?J(oVLd>u zY=t(bL;A@LzSrq;3dY4ow+K*42iN~j=Zg8dT$Pz}wFdW-&~i}f8oZr=CsM-671)Eq zqjb_<6?hx%ZE_>1vI~eOFnSVb$wsqLMDr1nSED)h0KoE9$Q@O{3d->me;WFqgV--1 z8TaBdrRbrkM|!pLknDCGjRkHpwv9lMwHOG7`o_rJ-FBUjYox!pen0uO;7wQPu)$ zxLP=6{8Y#-PKJBHkTi)^3b8_OFYslbvsdhcHfSq$m?;P@gZ3N#u-L&IrPRw6oApkN-kr!t^1umxz^znd zhbK4?Y>NCn)%{7fl}bf@oUb1Dv;3W>v4rgOyTz1{E)<1dDfD)s!~-s^%ZQE{W-ufV z*n{wGlGBf2cM0sAXWBqJuorgo9^RGSZj6ts!dz)s&6o>~S0x}pI?)s!(VIR#X*^!B zuK}l-0w3RMNeru}$C&jfoj8+gpE(~_w8|jOCQZY8=tml}t}O6fdmlmraX*0FS~pM{ z_Ge53jME@<7hrbif|Be<+C6-qvrlB$Y0f@7!^yxCcp>nlR9zp(%K7TDf5eK50?ZB5 z@NOFT);{p0AI*Lqm3V+#ewyvjN77#0*U6AbtJ>GAIP z5CYiO(;Xz%#;3a*ffLi+kDSHT;hFCD@k+#X09L-LXSzcKXg_j43Vm`*;6~Cc4cza9^_h*ZIf}* zu#wI;Pmyjc559L}7|DYzP#T39yBzW_Zh-9Au=i8>0-op31Yb{!rD0uP9)q{21Xk&M;Brx-ENn3 zPS8W}-PlD7yosL#w}cM|<|3qnB925-<8G5;EoH@=G~s#naQ}8?f_{*8AHsS&@YYa1 zW^5iTKoj8!{vw|$=iw{F8R@(8z(?nW<2EWa3(&sFp9jdy(6rMm;ru%klJh_h=}KQM z@M>f-l3IrY>NM7{dmXq&3Loy0SNc3VMa~oRfX#HBC-ztK*KO7O72^oX} zB(*4#_(@V|z?HKfaBToD|L;H*=9#yk3*76+V+<;Ppb5TNj@s?VH!BS3h!|VRy4foz z36>Os!W7^HX-dC=rt~X#Z_k5lp33KAtz4m33q1DEsEdW3OOxNITJ~t23O&due2efr z&88LJuYiK)gIgDZH>8BrJPmzC{CNzmnyTjC?}LA*qem*yigfX*#vX!L_0}t(weLh} zzd225b3;mNg|cIMDCuCuoKA>7KIvN%b|aY(4KUIZpbiz_DFYzKl=FvG7I3f;PSQ(! z18?+Vi~Qp-Qx7HAQmk~NmNGV6^oL*4MC&=MwR*@SS`fwmdta;IPe}Gu_z_yhJ}2IU z*K%94^T?IY&9>{p5`Tr8u#L%J>0Ua&%5BEZb<!&)lOKF7`C8E#9MnR3|` zlJOPI?M@7d{ouHDRYtu!y)a%0NM zcGImC(TunDX*a^a;wFKW76D7RIs7VyHM4kbV`Z?0(6YO+tAIn?YGS)w$I_Ai0*A*g zw=RK=^wM|(JC5B>&X!CRa~OhQUrfS2gA*Rq|K7d+_pO(_%BjL`H*~FX#)H-@g;W-4 z6*x=RZ{ewezaYn8^?$TiJ?()<``34c&46?Xq;DRm%3&uyWBDQpiX`}Q^F~OKPl3Cy zbIFP`zgiDfn_;apWX*brk2F)6h`AGMga)ZOPKoicFD==?-oRLQg95)D^bvv$z?y@b zu)gSKoY}tROK2*NIVVA1o&c9k(%u%>>*5}`oo1qLPndn68OO-FY%^;I?5icFV{phh zZpOq9amhwv$9sZCo*+$G(#{1vgq{$8k`dcEY(yJ&2iB7i0Ms$_r%D zt%NsqO=tyl8W%u9xe)PHSV3|j!jz{9mZx?8T=!d@7izpaKoe3NmOD2rm$dtH?YWQ{ zZdG&5YPY+Et;ir7v8GH# z21g$Pj$UcH7}EOmRQEt*GgCjvj+-x>-PYUeA*aCq#rd1_IKS7M1%FGbHPsgD#e&-A zOgPhw2VPW~TBbZmGmG7hVa+V7*k13_mGmjp|LwpLUN`MTZ*>d5RlSa{=3!3NRRu`v zf_v}qUf{P6AwW94sKs>83c{9)xv)Wyjr~UGbjZ$L4=dUtjOqZ3L>XTXjDnv=ILB#^ z?{xvb)nGf3LNhj4r)&@VygO+&+tQn+pJ_Vjg8tPScBq1^9UGETYXg#Zm z9u#=(Adz~|m62w>y*vweQy-QQl5?8!1G1?&5b8_?b<(Eia=nBnjQ$c#v zL6}8d2e_Q22Vu6C3?=>x^_3TE1dV?7{QgJ@3iDKyi!12>kq zDOmjo-v2vF_*WX%u(s~hZR8F}=NYh8wDU7@B`lf)nd2ruGn|Rd(QTlKqxl|b&F^5B zLPM8}*;L(7TASdIWL6h58iES>dnFme8%pEPL}2{q}`#_;I63R zn4$6sAuX$iHb%3nda!~#4=Og)CcSw_)Ts;R{+d@fwX0>?8eKT_=>mV%@*B?SB};c5HdLKAnp!4ljLyVZ2DvtmnQU3ouivUtJn&bL?v%#Ja%hgKv&3Cch^5ta}? z1g^bRk615jiBJ~Vm9JLg<0pgL>__eXk%WxA|IP==ga8y|wIp_=ZEM={=C*VpH&vhi z-Cm#n&anIcOWQH4!(LD;LAO>pE3sqi4qUig zvG`SA3R%Y$_nDUP6T3?tgnhO?sOBnbrS&#%z*fL|*N|0NKUf3JYU?s~Jm?5dT8AaA zZym+k3MGb%B%6z}tDrwm$ZxRjn06pa!8-Eouss!F-@#M-8~BpaZz_$$3XED|XcTB% zDd^L?x-PVQvfLGR9*y1K9rX3XW}iF;3)-D3 z*Bf9ia_jH`*qx@G=!X5J3t1~WT5pK8K0y+`x(U_?l(42;hBEu1=k=3E^iOhz_5-~EC4BzQuEya%WVLm(Dn;OAbbsG4T)yL`{*1S%v zYjrtptQYpprdaw$6e_ePS=WFv!R{{-G78Z*CfO9GZ&c^QH=Hpu+?H-D>}Pthdx_iHZR1|* z4)g|jk9d!IgT2SR$Gsum6W){F$KEV&wl~N7#QW5n>wV^Z?tS4M!a9L#O?TGOw70sM zUaXx-72V8@@U870yVi7#wKJV!z46-<*O|4fyIE&AJAx&2h1j8c zquhXbmhd;=F8qP4FVDqJvdhRq7#>YA`cCSlusmIcUD|Wuqqx*152Y&X_ER6>e&E_i zu#?>ls*gH>dsc7*r1o6ZTK)uI&^-JO0%Dnk-`Bz@0H>dYk)9CGVrKOe^eiGA^W~5U zr-w7eGV{DAu{ZlE%pmD{%9##b@3Ziqc^hxguk}Fhhy4Nz@cSYan3X*&-okGtypKH$ zM&nsl?;M>9JK=1Md}MPMUnmvqq|Tqb*s#F$i^wbc9{`;C)TJWmWsd&Tu*?f z@lrV)yA-_0cVM^b??f?ZMTOzmb!Vog_#M#7kze$Z&>wt9XiQFM}V5hoTvKFkX zxxsU=AkdCKv`2Lk^GxjE2O5)v?=nUKCWckm0e=l-t#1MS++Zmv@p#Z=qQVq+9B?`o z?oz#7ek;DUC*b;>TndeCgdHXDLeijzE?RTcX!{5(>O;`dc~$}jvO|G|Uo}c${oz^7 z00Xo?9J#b>K1uoC$TafOMop>=JgZ})_&m_YuS5UXa`vUn^j_5s;4eeF-<&kB!501* zb|CvQvU;{1Tlz!OY`d;pt00&zTTmGIro3pKsFV zMZ3I_-KZ#7VD9#dF@r8ftx^GrR6qjz#K;pNkH`vW5Bk4>2dsl%CD|ifaI(~DAN=Fk zb%D-fz=$oNw+m0}G)9|l*uDR1?4`ue|KwX;#gc)sX^qKZ>~%geNb*r`0nTSpJM!Je zes}&Tv(`Ex@_Ex(2Wvs>C1J%@vLM#iY8C4(u84IN*Ty>X+hTz=B(_347Zb5R$40Ps zW0S-Wu@PcRY^^vFTgHUE&aMU9d^UbRyM-P7t4E5NTJ47c;F zf>@zw&tiz*BF@Bju;MOe1<)mSM_nnO8|%#tD0vpzccx7~{DnNXlhu`3?j*p%bHB5% z=3QA01fnm~Hv=nxcYTrQ#ytU_)=(=m4l|BwxS^))W8*}AtUK>#71-ARTK`z<%vcP+ zO?U>H%DM&+xsFe?0U@}3bmCcNHR{$~TCpri{X)?@~~oVUtI@ z2=HBv5+~d3(W+j61M%&e`cW=V^abSdc`v{bUPpE>t9#Ukuy1v+vdlPe;S_hISc0Ah zN#P!H z|12hAMOF{s%xc?$mAf0HgRGc>4{3xWkRz(0M0LqW?ct9FNnwT67J4{LX{`&V;W&+RHSdtL5{(AJC=VEg`6eLK3%I`pP@~ZS=^-3l%%Zk!0Zr&91C%ua zwWVGQY_j9k)Ui81+dv;phC zP#ft+zYbDlZ!u?n|Km(9s$uuo)u3aEaLm9nQ1**;!R}sNtqzujwQ)CEKY}Kmsas87 z5*|s6D3YES+ak`um44IYpJ7Wci^l$$(rR!l;r5XItS<0DqM3J1ERC|LmpX*lK);2O z4~ptwjBc^7NAGgCpB4Rv3aF2r3f(BP`&y}@cQ`)6QEJm~qGZ_}IklC>C)FIIHH>E% z#e_-SSU$%37V*!@yiWFtQE&8PgvT}Yqw$x9RfQt~A7Cp+-k(qkVP4FB&UT?uIs@}9 zq8((-6?UfWx_wwb)DI(?{fH4i30{nDEFbh{q&PFSI{@2>?$uCJjJDIi3PbS`K1sJL z&$BFs)mG3@7DIzp$@c(zH(B3eHsH@O`5 z<(Q-F1fPuFj7r#S(Yq_;9`wl`u?PDY?-9z@&I)lv?}660%DS0r%#*r9p;8v?!x*ZB zejrI76SM~f1Shnh4T<|!f}XKsM;mRhvrlxy+!!}@%(4MH7HhyRHo2hLu;Jj^z&7l> zSb`Ka*hj*(4UYkD!Ux7oYb$D03hYXTT@lA;pu|amZ5|7^=%e_*g5`RW`H;ZyUF!;@ zV7vY5e6HoOgk|vr6ObUJmyV}YmWV;m<$6|KVF7<6go~KvVa9_Z@ZzN`#mixJ!y-~R ze#Hvb#ISHX1@>moC;id?9*jMS(ffaLT*7XHZ`pV@lP$#SA6m05j}PP{fB;kYYa#Z0 zz&G-y)ulg-`(XU`n6Q$xEeOj)2p!2fvul`-G%WmO*Rl}^NtR^Sg`tjC5_BJ4ypkrM zH-;ftEzqO10*Q7>B#w}Wg+p8TeGHqhBBsE55L}W%+5RI~y-A^Gy&?DoND3wWr1Bfm#}4k zHh$)don*>N#_)!$3`2NB+Ms}pC1ZF8@+qY+M<@>2Eg!UkVSV&9T-evZvQ)tzeMPy)Xz_V`dZ_l1g0G_Z$)SDeQsx05IqT zLIdK1EG3qqU;h|?9HB{wyFdOELTj;G*2D26s0M`|0v#G@rD3&s3VSd<8uUACr#CJP zpjHN(LLKo&S9hB=(JGF`SiZa4dKvs|iQU9KV2uD@jj_%AD5PcjF84|;@*F`8yb9|l z)C@Q+IHwSP@tkl2;Sj44Lm^;wtQOBhs=~g9wK>&aqs{doT~k~e$bYn|4I`R2;Y-4h zi?u{+!_bBN0!VO_n!+#Q1O>%%`K6qE`|)LcRT#o|vG-JuXq4;DVF=&-zu0>ZFe|ET z?|av-I+agVlaV2G(=;?0m1LwHk--^rn9;e;4Cbtu6T)dRfTCc|*dzr;5m3wyjUdoU z1`&}cK@iEQyXo-#Rvl39%-nmwckcT<-}Bz@J^h?MRdwoA?Y(R7wbx$jzy2#YQ=gwb z&pj{M;ymvUY$fG$FG;pI&%0EMChHODdzGF+xjl5s>-CakOQI?xga-rCx0W4gCmLNvRcd3@Jm7vf?R8Yq1r*r_W2S>_#7Jn=9w2VPUC0$2f%r z#UA5x{p&j9F`HisqKIjonBr2Kv3 z9MfQ(>l-%lh_dxtqfj+TwjQN_wJ@{gIwxvn7Sq-+0K~-hC0mW-PG)aP$kQedrEKvO z&7N!}Pf?$gZ1EJ)y=U`nj%o=v^+icd(38x1Nm*Kl=bEB(ywb?jZi8T>0R23O*-` zJ0TIwYCL?+;7G0X)`}IxEH|5>uL-xd?3eia&2QX#crWYoZos>t9)lg?8+R|q<%~UK zpPd^&%hpFpMuDk5)^U$*ykq`@;;f zD_#8!ePb>+(1cT*x)4UTQFyxqk6zs~y7!*4}t5 z>g_q^ujmgCSlETYo(RsdlU^4e&F51v?bQ-IT=Z8d7$P=w3QmQ*~H`VwGBp2=gVqjvhm4ClCII$$I@$Y5-9(ddPTBn0=_^mw->sV*q+0Mad zQWE9ZgZMWvd|Nz>sDV4< zN6G$MnY3TxZTttP*8rnIpd|v%Vxtg+!q|>wfN+ zVSg>N=IAmIg0E$l4oWya(w&7F*fs(82CN^GH6N;61;>Ub|L5QCr0!2~?)O%)O3UR* z-sO$-`w|KLGW?QxAX1KOHKHGk;aT=JtAp+-*n^2k74&m8?Bcn2zT1&?rM*5LhT;?8 z(mv6?A5PpmljnE`TgMT3dptaRC+H6LiCXeT&r0sHz?bL@&~Z+8?8>>iJ6F6t7~nkw z|F~F~SNXS*5vl`MBli?ntA!`_7PdF(jo3;h&Q+=PK}J6g!sSA;9-pU+^(AmZbk|+< znO3=XP1HgiOEwg(hUj0x;Jz;28P6rNl`P|m!#eamkisxm-{F<|!-#zE4^J-Ge0?*X zfMG#%eUoZV*0qPMVPxIe?zW^vy?D2wBvXiQm8ks}*kXn2O>l*0xecu}f%0FZCj{Hw zYPf1|BDS@P?Fsz41g`(=uST#c9^k#ecXCI$@{#Wjam7-`PQI@6Zlp%?J=oa8SE^9c zKyKBrCnem-H6F60G%b?*^e5L(wg$opaSQym`S7C)GkGhp#@hq(HyK-EWrM^bKQuaR zFobd)`K{Fa4o^xogyRx3Kfxa2oX8sH686F8?j>Dk@Q8yH)2;j!*+bvJKT z-Rb4sosBS2bmx2F;OGvbXF5pUkNHhYYFrXu1a9Ula>*0-7c9qC;FHCxx%TNnz9Z$o zPxrB}CxgzTV2~}fM@B}p1$<4`XEa1NRv}2b>Ue;8K|GQfJR4_2EUtAa$dH%q5g3hL^3=JaEE9wO*HOzf2pK@XZLGa|X_t(~y0qdB3$@4n#&Bk)tmMGZ_!35mz(Q zuJkT9cE^3ee4kDmevEWGjV<=9BfmbVe`~*zjQd$;V8gY7_BYE4%tfGHzVwB8WjlVN zYrPfjcD=$~#QtsQFl&iZ>Kk99uj6_vI3^bUjsAXbAFcfzv+D-?JB}Le)2c2gxKgW- zY^*6`_Tk7LfZ!_yn8k#V*GP}aH4qrG72gPI%JemI;!Peak`|({!g}%u2*?xCZ z;xA4nuiwq8r9B^cS%Q9$V|@r4dmh_=^@V|8qMS`liJ)>bQ3izkLZtdAW`|tlNkjTz zBR$`5NsQwBqz#V(4}K#oNHWsN3mW`<7eU5Lp0hl1%`d};L@afntN?xJ1S{8S!{15V zX9MM`P&G&m%Fek1yz^7#NY0M*&r!(lj#!5Z;{*5&OUU&#%}wrAB6i&I3-bm_S*^((#{&7No7TxGv+p{DS= z+^9L%%pS=_{>U|}K(|ZoHdGojasV~#PQ!xew{nH)_lL5`RIsLs|&KJg6xjv!79I= zd!{{Hp=|~`Q$@S!jO&D7rzpCSu{}Dt zihM?r+herdl8jQdWS`2wv(bZ=>1pqC_qpAu)i*G3^wjx@EbF0L(u-E(?-gk<+S=!e zJk2EE$v2HTHjC^)M0Q#71YyOHZJANb>5Ped-JAS*Et%o7=k5#k#h0KnE0{5Im!;J7z}NZqI*Vs+H{y{2#&` zz1aOCSjG7jS{O%$xGRw$=R^l}mv}K=$i>#;VJ zW6^*g*N<*f+k-8Bg(sOiex(Y?-tnjSZ%D!gF){XuMkI6B6V$6CpVu(+w5EsM#C5Ew zHFn(Aw919?`SI`LbK^h8{o=nQzbOu1GE3}E_E;osUO0+ezA~?7qE5PBJjk018%>QK z=#4c8f+-p3b)xrv00VusH^}RKIIoMuo~CRYCho@JJolA&o_iop5iRpEdc{CbBtJYv zQHoYw;mv(gwz?}oR?iFGkB>Ef{u8ZwJMwXBFq!ouYe+Ju%3V}6 z&n@LH<;h)k;`LGHjfo+)3|**I;(NW4e)E#w3YlI)T-BNOa&oh`BKA7%l<_X$UF(&( zW$x|48P@NtGIDAZ@Rs?fjNjy<^Pb5s-)5$+g(HepkUrBsGbO*R^|IRb9JK9*v~CrM zhbugh<7IsQj$a+3*1xlBxc(@v*T^aZ-QG}Fs)lx1P~n}$e7O>=!dXxmU#-ugWDS@B zJKJ6Dv(b8sqrP@lV{U{7*^nB;{A4$^yV*f#5)pvCHgdbO|e)kBRX)7?*lm zQ!GKu43e;xCsc|yOysTe8+yTTa<9s~_N0Z7o_G( zl(n_Kkuljw->34>0PbUZfLDxsy7I7=^A36Muky~;Dmp+Lf$$;DY#;Uc8@UH21(V<- z%ZCeSe4Gp0P$^z<8LPd)M%UM5q-8soRenA){xmXS<+E=675IvLa+~l*jx0rHHqy&| ziTV5sSJ~#bAQ#2}SPL%mE~i)L+7-bf{~9}+)9Ss{L-8Z=xpo6b)`^*5G)RFnuxNDD z&oFz`dfkX|?`Dy+gPzxo_Ug;1aG4iHPUnFsV^siF_YiZ9@P}6**N9a|e|gov&pbzU zCksq@G6E&B3oME{#N(dIqS33mDtiPVr8vAeZB(W@>6Cp?1V zjX0J8*D{Z|gh9Tn2R4HpsKrk*G=4DNqNjV)Etw64zpR$0@(53be5jW6h)f`Cdp)?H z#mv*g>7j3AudVLkWP+@oFq)Zvd@S05U}<UHa4k|7C{S5cFtSOkE$vROS8PQGp zeMUz$h@HDVSq&^C_DY`pBJd|NlMD^Jn^%*qLF&;USy#!*U48!mscgwYin-%g?z_``yv8A5H$th|gh`eU5pct+WRDF&E+e@B`jS?|&PXhw;Qc+{3;C9e4)_j{)APtaEFEJihrT z_#6H9BXCsa-^COQ01+r75;{9kZ)BWcURMv{h8mGfF?5)}BK& zT4qahV1|tIA7D@Zz+X!1E^~J=>pZ}$vx`}GsdaBM%M|NT)NrlzBUWbb`eXD1dW=0v zKc;#|@;{er4c8yoqlr8o2DY-473XE(j=u0``*M7@nNh#xN~4lzwi_K-j*PO&yMhKP zGwf5$uw;n{viPC{Fa`|`m(VLE5?V%;$o-Lu{GS6eQztLT*U$QqKBVGnydgNZ&Z8KSI zTo$aes~s}dSi~w5p{msT_Bu-azV(v+(0(7}`@Q&b)^Y5d=w&@Qz6^cs8EGwS3YP_A zIe()oeRnn*M5VV5d@6IkcTgSR*nT1xFDGO1TxRFn^gg46Obsv+aP^gBv>NL^Mp-5H zGP~}%41HShEYD*6ZcJLnRW~wYZ2T#wm2xxcckpiPip!0EGmdvMUae|i2)-pzh*VlX zfgB*O8=s}TDkExjO}|^5%$yl&=abey*t{&={I%l483zQ zGxi~GE56m-xDq^5F8bOS)&SOD8o|tO-8tlT{W*JieV`GTb~ zXaa*qJ^wt|w6~=GqAtP{Ss}qtYLNM_`c8j&hyT0%e{u_K#3EYDd};D-%scI5(cv(} zB{NDDddMMcC&Ja^vwoD=AIUQ9nI|$6oQUlro7eg_)!lm0zd@ate0LI&FjyMGo@iAg zSS6g8$N*-}CzG?*Fdhz|Gwer4B1;q!QqZJ(4xhglS=NNf?p*spaC#yEk9R8Ld=MX4+5JI2 z#&Y#=qHn`LOvGu8SL9~Wxe0<*G`&LX8da=2Tbgs>EQJlnn-%V2}wj1t~@oHEakhL zo#qbY+IHthZyQV;+njTe<$Jw{;-TRWwE9;4gR_OW^Y6K13E8nXqZf!qnvZQ9mS*gq zkV6qSb|0}4@5S}Zq0HaMrjK)aI!(MD&KRccR7He|M3=yv?Hpj;v)bLqG1!C1 zI9bWltkFB29eO+aQ}Nb_o{)WI+~M&wxJM-#?VUjG`A#rPf5s8)_v!=SKtn_6cRwb5 zt~lJrc|X87vz5ryZ_xF&n5*bj-|NkKEBf-LAdk!L&>I~iS{*D(&r-XBcIfa!j4|l^ z4V-(_>+utw0n>R}U5?eXr}uzc>=vs7ZgKh)r#5H~3gA*z8@Avoy|v_L+zs-45S)P3 zjMu6pVW?^V*HQis32NgYhiy}}#lz3jJT)QB`03eMzF}1@1_P*)s*04O@^>!e|CBic`~xUBU9K>`s4Y&tW5 zSIE|U_jEkBvL$lwJforeZrG0qr{P4}^ivlE{aE6BWBuBkhv)AMby_NPhoxfPMRvdV z{4h856fsAkI}^spv*C_xXy%&BL3n}zHbAW;vP`ahl>H%UxHU@d_GXjGK=vXvl|5mwl)b0bht^#8ELECZ^8)-AWndt)cX(dSVec7QN6s{j z1=f-zwqN#!gGkCU5y;+?Y889JPat~_s}1a3U_6oB?^X2!d%~e9-#w~!vnMPCviFE8 zXYXuUMD`vh!(H~t$lg=R=J+y~HAyJ@&#H7vSgy0@J_uej%QPV0J)wTZp70^a-U!}V zJ_Okt#`_I_33^pT%${V;eSr9)2CS7}FJqNG2vLA8!3ws5d1NS&Nn$0H`Su`pKj4&` z4}|-@W$s3Px6jMYD!Z^=@5G)`$KK)Oz)2`Pgg^#KfUfb2oyEEApW&AGM!1EY_%Z5&~$2G!pS&~c;)0j7CT`|4I_pq zvO$nwnZjzBu$~phqx=fwHgFj9q309LE8|b0^!xP`XCD%L5Vb4y55;>qHtnZ#El?!S z_A;B#GF0V=${qx8;1f7pQzD*F*EoAp`!eCTiY zc2TC1_{U%yW%v=4iEuh?g-0dASG0vQzvn%kt8LcLq9vYU4+!rKm0fF&#sW~!R#*bY zBpMP?G>&B+YyZaH84G^mH7^$p>4`W)!zxu2)zP(ZQ#NMCuV)Rx3tUGF^I#^(A=)y> zsgrmSYRqcp<5$qZhM+rPF*I%JS{c;vSsFi$tzOQp00&Xmsw6Ug5^eU5I~WadKif)8 zX9nFzuRx=uXQ=Q2w8f#JQtt$(n<+aNoOuIKeZ^p}zcO=D7k~%Y58A|hs;d5ZZW z=<_pF2`K-RSxQgL9t%0=3`W(FeIg}N!>o(3H!>12N;2^r#*!&;pqJSX#pl?6qzy8u zF)@srv;or+eLzZH^NX@o<1u;;y|)UzbrshcjYa2>gO$(uV^rxp5LS5i!tuvo$Jg=- zPXL4nxzx((&6VVQ6ZTCInvNpgcE73ZeH_`#kxu|Ui!W*#HV&kht;nE&NTW)kiGiDdofnj`r1c}x3vNNFg}K@(xI4gP0h$)pYBRYX+lG7DVnzk4+e z(9~#!7R(xd{}S82@Id}YuQ#H3+1KU!k` zMxTXFvYZ$u@n&ZZYe$~DFgOxVwbU$U`x(wSa^$06OH>!%$OA}>{@;QzXu-F}EJ>`!zU-ZXXCDAy0tSPPFgVC3n z65d?Qr&tv0*jK|hYJ&N-`8&LZ&4R&{PpP$bUAPJN==Jsj z+TvS#Et2U8f0O$yE9NywuQle~)cG!Nt-T3k%Q`m$a#Z}&ccY_Z%;mBMhAm0{D{uyD zU_)ACcELlEi9Tn2)ej3%CR)Rs@ev%{b?tA_1eVhym!l74d)9Kj9B!?;cJ`NY{S{nS z!D%!&JSzOkZyHLrsC$CdvYg_z0Sv>>Z7xUF1$TO%@;NkIi;P`uuQqwp2R7k{K0tjW zGj&elUAT)?=W2Ts$bsVMb+9B7nFor*;!oefY(Ef;!tLH|{6`8>?_BUOtrBhE1o9Bi zcV8!~eJS1q@P~3nB0rU>oG$p-y4Z5Y9KVac6>D`DvKo})O&*vaqGtM4DM5h@1l!h- z6@5e9-)U_YMR(|%RpYoI)!MmDH-Nk5so*KH2FwMMSP4&qQd_Jo=q2-m6G7hPMJFWJ ztn}s+i7x#@SYnTSQ_iokS2*AD&DXU1MY@f*lq~nR2WNwzY6Bl{8*f)2a^ilp#NWVU z*bbWuxO-^;o+O&dPd&U=8@9oH-vt%g5T+(zSBcHy(x@Tx_hhgx4J~aw&2wl;8?|D* zORKd^elMP$HsrRrTjgO{yGgag&)8V5WDey`CI?Me2v?JoTYKH04DPBu@r2B1HF z=idl_+PdJs;vcx!L_1Km$;d-TqsGhdZPc35TTCU{ezy6w)I>(LSWX|~8Muz{Qsd16 zC*7V}bijgol08EoLnZ^PMqWAZLVTPJ@t7=!hr2y?6G07?MD6iz7RGNd8V2c;@p2vn zmE9Rz*?xYp&&x!6bsci^)bWnd_tSSjNAKKivd&0KVrv>t=h8h~yTc9KbO^CIVe&E5ot;z4^nR#sg;C}7q z=_+-I5rzbX$GIAu8k)DhkKb-2x0{r<1ZkSb6(_hGlPx={P!rs*`L3L^4$7Hq--P!K z#0u^0nG@U}WKQR=iz{-l)b!Qm%!(JAlhK-#>cT82JhFvgCyoZ~*1(?bW=G}G*raQ` z>0m-f2&+0hq0+=M%v+EF(py~anqwb4{H%UWdm?GP{ph!1DZU4fw49~Y3G`>US74=h z+uy^}>Bfq`9`lgcM|XQ0@%+xgpWYHlp>#=-(S0U}fHuxddV^r1MDkjZ5$(dBd<<6n zo#@3oyy-zt^9k0ofAUJ>OTe^MB2kBi%ei~2=)q`XZ~{8-Rra-Zom3wdmAML=v3ZJ- zQCC@4SWm>wQg!veX_2YKt|4hN!Nc4?pE2GLT~PkZO7vLPpIz;lZe#Kc-r{wXZ}|q6 zUdH1M_!S$PrL2`|_4RP3Uhl0yQZ#gUTR-;_xr*%7C_^_iuZNM1j9EwuVs{+5*7elC zp?;a3-5qI?&&t-&(#Na#S^cOb`wDCG51)&O%4%C*w#h&*{U z=xC2bl66ehc|XTmWAT9N>KUt)R<8g>QQ@_-i=x(MJI0F@6~OX$6Xj{EuOoKpde7kf zA$O?oWW3#>$R9|AEiwi`Lc87%lhvlD93|Onpb9XVrQ|{9?1S**c#8ZuFi#Q}^S@i1>-RSq- zte!mcZu$@se^zju9tev4cs&Rld&ZS#FK33U3^{bIIq}$2Jcw0FW9~ec$YTRwvD~sy&l~5LcbW!;&RGxkgIl~eB>>O55TPh zmot6z7<}Z}9xAcZT5Vyj+Kc2K8n#ECwzt+3Ln2tRnbt1nxYTvl_0}#{91l|4vyeu` zw45OIYmmUL#9u%>)G;bY5y7?JpeDwx6+aPLEK?>A)DX#|?^X*5(}LHfqt22!@r9^-p@ z5IU&`rg4Wg)~`#Se9~X!4nkvdr3UmDFUiSJ$Z;dVD8ERM>;(F_SVM@LVLmNHw>n_9 z=l{{vWE<$6F2pHiXW?z!`$y}^gwHZXAD269OnNz19dKY+))^t&Xs`X$b&bE%{)Q`H zSz`6Kf^rQF1%scp_sVB2aYY$Ftx99DA_|l5t)6o0>P5_x|Hi11xo{XWnrQ9G3~jsh$_0Wz=? zlW~j)m}p)3Z&;Xzc=^l)o#5o{jQ_X;BjE|*84jyiZww9ZWGz@lySP|>@AP({*XAOBh(i#&H|bvd}`-J@8}Qc<9B*n?PK&lM?B95 z=r4EE6OW-kOyw;S?FuwZ(bk5tV)g9ZoOK;f+%>_pQ&$%a6D%j1Qr2gk_^vHweFZC5 zclyCmHaWbKSx$ODCVC_q6kTq$wysAXdN_I@Dvr9Fzc-JuKD8etn~R*&MEbG&EBeAv zp7E1zQ??|kq#4ib$z%?@j@)+Hwtq4hk7gs5)N8%g#G=%w)>s3Y=}vkJ$L{ntCK`r( zceCov=#_c9n=R|W&SjdYy*WVLhH*(v8Y#2oSAGRI~H%7Hat@Ch@kF2c0M(|eB6H4e~PbZ#@w-|*}5~)%` zEb>d#@@-Q^FOjACZKtPf$D{RR+VQXcOB|WP%5e&_3jUg4Gz{>D+GgL(Gpwh}K_T4f zT?_tUo6a{2KuL*C2Tt5Cw+k2r2Wb1vet}g^oPeBp0l&!ScsaAcHyjxSZf!kJDW4VH zc-XLID<3Jhji{#swBk0dSHQY!VsO57fO|}|57Ljfk(o}}1ND18wqd6xz9r6JBdZ63 zcW{WNtY!x#eTmOyHrorsC8?6IEPC936eP%u=o`+P=g(5h z{Do>pdVyCG&L-R3r1Yci+w%5ihBLx>;ZU+TE>+3(!};-Y%J4Sc@|oc*yChg?3{Ai7 zPYFM@mxK$`+23cspApW&Gd9J0JDfq=El~^o*=l@xin%N-2^ab^(^K3D$vuXKGp*U_ z5`U^cG+qgQL&_pA8CkW7*GAU&KF{B0Y*sNMV4^cVjkv{Z$n@pUKh9iUjRn*7ll)fySZ_J@}XNC(cTGv|;mbjl&v(Mcn=||H; z@p;bj#)r#OOVSHc^OL7E%cS+aq3Q9)@-&%S+=bu^mRi{-FfY#Kd7okx1*Ncb3Yz2! zcqrbBi>zMOU(?ND@%%gdK+u=ITk4=uW_|hpm*+p}BmdL?@z9f(RucD}{X0_UWEq(3 z$kxw$N521`@mULM=wU1&fE}>dR3VuM`>-&gc~zLtp!Yox*7u6gsmQ|YAH+_bgI@~{ zMEqJVtEi`$o4)Z^=zUlU#b~?LE;pzR+ z*=GLWY{lYGjJB8?ZE?P5^$YWWxyM-)T?(_hRvVoSSjetMLmA{&6HQs`?DgsfgSl5< zY~AaF<24=-Jgv{U@8K_g(7(nV>=(l`kb$?u=l7X@$TFiR4Bw7Mif3d0K#^_Fhbzkf(wZ`~^iLK0{(5_2gpDvEx zOv{RAzcVqt&zYJQS^61>v;)`!yHUFeKHCTL#Lpfno`CpemLaK^nH#`%2D~@%_IPi@ zPZ@AGkIZHpn8AEx^e(R#zpbOEI=?ecHzuS@VDHiH!|{4r>|ykUN8$@o#o?8S{*tk3 zdD3XXJPCS%a}>7pfcGJ0XUXGtV|pw)J$2{#Vuy%sN((pZSpNX?1JN>Qc6+F&WOS@$ z-m7&K+QV*hm)c2fc9`4I6XqOl$#K{oel)kKak|w0OdHV;tdF0hO+hz6qan<@W?}m z9nGRcB=5&?ENd49wQ!LOvPNs@QKPrSQiQ#oJq!j|izxCVQCYb0P^ zxYU>UTalnG!@O`gGHDzAZwr|>w^`fFZI;-0T<1IF;6Z13u-gCUTr`iRO4M)TGm(NI zd8}S)XSM~rMQTRk{cVDD+3CMX*2QXXjQhEgEQS;D&5v1)m^3 z;K<%#zsRvM<`_ybCfM(dm= zLD!Td=Qc%N{PON{hbk;MQi{*vGyS|*SmvcY+yQ5N4qL1)K04(-b$GzkJuqeFY z9g3$0gW}v+MZ=;$z{w+Q*^(!wI9y@p;%{g{-kf4Hm(N<&0%Y9v^yL-U1@7XrTI=w} z^mBN=syxrQDSchqpocVua{%5D%D-Ia+Fy(Pz$(Uioy(rguEIn?#JvYb#*>V&%{>Fp z$KSA(=d-8d(l|fJ_qe;|#w|b8-N`(vl=6Lnqr-3>Me%zVkL2?BL9+CdNKWN zJ?*p$xw$e}51PN)yNjMu?X{2Oe^1_;cV)U>q@oOYn@XczYDqlV>+i2|F7Ph#RD6K} zs@f>057a{riS7Fl@OGjDbknagYs%g(!2=~*w{c{@R}^nT5^j#FQdqGQtK=S9qHnyLy|%34 z3w2xk0flyJY<_~f=%%lUcLh7WjnQ6WVN2=X-I#;cVQJ2Ri@Gb32RYb7y7Fvxa)o30 z+~I8xGL-U1`6SO?CcXuq$W$u>tNhpDM`4AvmbK9Dt-9*1usHZr`a~azAp5q7I3z!HV9}Z7Hc0eJy#f_}ru0P+|+)hs3L6 z^vBTLWI={a8%of=q2MNJ&34mzG+Xpw&xyJ)RwzhWP@qL|2C9> zh<93ns}YZ@40+oB{?(3ei)g(ZRayPV&K|82OA%XQlFzpdTMQdq;jVK!@Ti%-#w z+Y6Q~eKB!nbLat&DodS3TU1c0l4uprZx0^B+~|0_6>Y&4v4{$iezkqP^|4=@s`d6* zQ{l4cik+*FxY45c(x|I#GfP)93$0*WDAm;(<<|szh>u@ob;Wf;**9w{a3i-_X80y0skVm`J=b2zrvRBgrhd?~x@mCk8 znx(K0*$Qj@Hd}>%;x7N$>wK(e;>m14`og#~duqGD z9d_iH;wiMFA4^}!?xi`F^b(FV=9i_c8dgLF*sPJrY_->I!B?(~zC}Ni9+Ss822230 zl3vg&Xs^>e<7#Bil4KmWvzaMHP#06$#8?S!FmLJ zt%lr#SkKV_i46whT45Mbg)kZ){>uwpFtr=4a@NQr{DWq1>hm^CL9N60(s|*V$i1QA zeDszz{s>kNBhU#7@rf?5H-S9ZuQ!3Xox`?tX0So#resa9&Yusv`6gnD(c#rP-;I~U z%sdbNG0Eq=*V)U;d9P_iyU}|FhgHEQ5BEcIE-e_0#K%>e-i${1wN)B*0ax6MHPYAd zV)X6|II`N<0$2Vpz4D>>vG6G{@BdN@{*U{SX4bj$7d?vgEw4lIQf$)y(d(!nmS6A& z9rrK37V$gdpFRUkt~+JkbJ**#Gu{{Pfiqw?Sos~Sd55PP5kcHV_3?7mEvW->JFkUz zk|%4uGEe|4_q-oSMW}9<=P7qbJ_vNC6SJBZy`T6D z-Z$UF`Y|p2zJA|+-|QPt^3is^=7xdwSXx?sG14YPO|)R|*TzWQFtlwW{DA8bWzN+? zaQJ-{rMtnlU=!HTVN)zV2qmlvV8#+l`jmk5IC~;e+0_>Bqqm zWdEj!Wj$@O(w*mk%d>_sPJ$8 z{jhCGj9o3B!}0NZev@z>e)aL78_(=X+rpzwkqc?RU~{{C@h# zS@aTGL0-$u3Xq>G^*(zr5~>2()H|xw4=|Puy)?c_FNtr}i{s1nviL(|MZCiJI^Jb`Lj>o#cmyU6!GhF)@~98S zLu>)LAQKy*UDeljDe=H&HT)S!Su$KvqAs*j5lps?(H|DGcK8yB+y&h(6E!wx@cr>f z>f@>JaeBD#VwKB9w(dkW--Qm*6m8q3#GLPB?SIAt)nH3m!c%(7euw$*Y4;BAEz16o-^G%x@od!ulia6W;i8lE!%O}h z-tFFB{oA}o*bN)ACb(5iLYvH5Y9{Fk{9VlQ#6D&940*T(NM z9-Gp8Zy}bn9xb{m=pVe}-a>xH6546E1se|f>~2^M-f?%sa#9-~4-TM)bBKL%`lI!n zpzC?{?HR`7JXPrr$Jvjfb=IP7-;A~NDbS)B>|YtH2;_w1oE+*Ohh;2dmtaK}$#D~Y z^}cu@U$9=FWnTb&QouU0wM|wj;&g8FexG=aCbLy)-l$8l5RZewPVBLbK%k8xXHuzI z>fY`(B9iDG+O{!Bo2<>?R#nRN8^bf%7k#_bo*RfKuqWj{goSXWUmW$N#+BYSa5a$m zQnpq850+*eRCq(MPP9VQ6r-hDQFg=Z1^?4$+iVfD@!CGmCMwdn8t5+22k?s)naHHBNSRV0|Gj9HJBLtml|IBNIRtvUKQ zt<{s>*%Q6UN0*Wa;+0Wfp6wE>fqhk9``56_pNfsJx829?&-VsQ%5uFW?v~{HKKeIy znM;mrBAPz)BYRLFxGIV2_pwg~_&sxAr2@?c3hH*Rh<^AT)|7Q@pQ;BEF>IiLtn?e$ zOE{-zqSf?d++n+bAGFNHqRe%7_`e1R{{=jVMS5fKk~`XIqQ0Pwda0GB%@aTlD7o_0 z;P(3>i{JL2cDXutKHbeR^P)bmwDjlt{gSO5^xsqMtGuU!*Y)eLF}=aNXY{&#rGA5c zc44Y2KGi%Gjs6O;HpTs6D0I>Aq#g3$=a8QBh2I-{`l+mu`qI8L{l08nMSp(UU95ZC zy&1DED+S^5sPe{x+@C-hPc^SmPur(j@+n@*rxR4VVD0~vS1q%VVf456#?Pw`%=q*< zvf!3PN5hySh)uB)3`=@#SNMF!$60H^4PdQGqRW4>7JS7TwUB&XbK}dstes$@fBj)A z0c%7uNbf>UEe8p98+*b8Tpe_S!H6{_>x55P;~#5d%b*TD=;z%)#2w2yaz;1%a&vC{ zl_fTUV$RNuzT%9d_4K5^<*Z)CTGIOP`8n7UoTktx!8m+#rn`1`^6EP z1vY&5XvSV`fPM^nU}-$z7nX}RsZ|9LccT)^#rIrG>a@_Gny{VL&~J}V*7ZlT2DcgI zoXbqnkCJ{4A~XkdaR!#aeq8k%?D7@v@w}&kJCS^2$1)<;a-9tKeV!OAI0~_b{Dr52 zrNQ3l|Cy_|x4!e&vM)70V(Ta%&*5cotNn@p1#(C02mgD{xrFm8f;b#cqovbYOv*VOB3f6XYEMApX=^q%9$ z$#*>~_^+vXS8I}gxpTZV%)dN!xmSVYI$~X@{e^X*M$h0EVp}Nn;os$2UnjN&`3=1X zyOl^L=_6e^?|`1hh@b*Ly{f$}Nk84plMuewE&32&i(O_*AWvPaGF$nLv`Qtl&H+`r zn=@F^TNQCHYnv@Ca2rp$7PL+SdkD48#3JcSg+0iZJ(NF}_*G$^+k-^gsK0}2=n zoZa*T`NeL$UwfD}ba+A%jX|7nc)BqNM)Ub`ts}0LNUbF^R>DcAG<8ut?Vo=1HJU)R8X-j66lQ?I!< zm)fkv4+bL05s6sCIcwasdW@F(vtGeA86fe)HXyb_qEOaw#oV~aTSI&8a<_s?`w@n@ z)7`8*E+!kFQl}GBunX&LZ{|Fau)EkJ!>6~{B-chV8~&N{-ip^?2M9u8np+;7LaAT% zcc81B9X}7d-^=!e@dWBN$}eKxE5ZJ8GiOtJEaJy;-4EE4mU)Zc6nPDZNjMHRs%meV zFP6p?Q5o9b1im}fIn^4bLr?$68MvnLnMq$@)$a$<5a(R#^_~ zdes%%#0$I>~D98OZbx65O&06_M6l}=EQp#1#`)knM<3=EOs{k2PlJ#lA;II zpkb6CS>zqj?0|C#$3KGM1IXRgeja%JDlu|?0w(LHsJ^{(FsPp>@f zv-lJ}^tia~-Uf;DMa8dH)6tC);8hneC0JD#&6=;n%=&dLb=vZ(_&4 zi$1Ux?P(oW`~ga8D)#(qR1TJnd^3l!unGwc@(Tu>d}ifEV7vyXg<$;i&Be+8^_*Ww zT-h~f5{vbE=KOK~dRCNH#M$6C1zog|YcI4Hah*aq*F=}@8m*_Ti@+YP=XW{DJnwVf zLRjIdz3&b`;ig2GNBAAImGX5v5Lb@*d=NqAAZFf2-!L>IAt-a9 z{nzv>)Tx&ImOb$?NH6-#A8U_e{#xa(a;H(}+rg&Gc5yhCXSv87OGcybt!e%^y@>Ct z^fdb+^y>RLrvN0_77HH?TBrC}YRP!4v6K~PKZ$g_RdEgo=2Z!IHBX0eI1U|P94#aA z6Si6^c|f0pmv+B%y|C2VAn4R>d^UI6`;RmCuhZSph$X(FNL^umm#p~@H_d@k;b$PVb4%FTRWn z7I-Uqifqp{PCij{y%_CT-^VXK9j|dzY^Mc@2J}nMhFHMcfus>X#zf9)ja2*DuKy`V ze)i8C@xy>OrH6>6Bo_v%79fLH=@ohvb4ISYEI231CpYj>@cOMJGIuMV`GQ=DWweTI zf2(zr^}SCy)0w|(iC6R)VS{i#Bj6!bg7;#qx6YX8{hOZZrOgk$J!-$#EH&L*WpDR3 z*=64G&H`_Zv)o(aeD0lQf9ov(-*P*dMrz}AFw>G%6poSeQ$I%w8`eWsHqWKyomONjl@PBF-iDb;G$wNBTE3Z!ZZe$N@EPAXi7s|d(naTgk^#*jZ3+PMLPHXy07oO7+m`Mv`(Ok^g`7q*^|^GdB5>J9ED*+o4!k4HTN1kBlF0__LSHbTIXNX3TaB=3iPBbOZQ zxo9!V{XfyO+vJmYpRSO10{^nD=Td~eB02=3RQarjDnAnEf;-HG?=0jE@L(JN^I zX+7!bx#+yL=CgK5BnX0H>j7rCUcocq0G?$_qJo5Vvz2|6OT-FZ!MW(Hc%1R&ZHddh zUa<4;wVRNucsX4B`N{0xL^t7z$x}xv8R!@qPK~{Zgo2~msS0Kg6|pxt_mKG>(U`6I zWdU}=CgixpD;(eJaW_hle3}cv#;p?^dSc00=^JOIvej1(;4hb*e$mOg^?)4;Zv}htRiFQxkZtV?^3X4>kFuB%fq#OmN{7?hs)58T+Yfjr*WMe?4{Xjm7#5o zS6fewb5cd>t6*brNqSf`l<%KUuMV!~eOdaV^o40VdY0{5c=z*u{{AoCYO)Z6YzqZh zE$sW>>c=QyKG&4?7p4?VcI{gF#&|rNby?jMap&xltudQ$o|HwR>`N$LUG%h(hiAw< zW=P3?o=fXVedRns;N_Sf^K^5`jYA^Q0w@!KK{&MOQ3kohkL#eiicJeV%IpaYce0sNzl& znE3?rBFEs9u*I?_xQPzf%U%pRbN?K8mx?$l<&bi|2xn(j7wnK^8){753b;>uT|{5! zOxlSkJ+Z=YcIyNYQ=n&a&Y^%-2ANP`7ZJr)z?C<0G#8J1d%Khx7lN{oD`j=f9C{Eu zAphj`4L-fS@vH^NYRcSC74J5&(yu8TBN!4eyLKYl!a?i;n zbm8x>k!yJ7&%Y0ed97>IRYysPxbk#8Wf=`H)_7>U^;4W@qz{`iPEff)%1EhPg|iS_ zAlHpjs*&7R&c&r1JIS>TJ0(ClmI;0?MKX<$bwEH~AiHPhe~LX~UBpyeB= zdZ`mQCKvqw`-^qcfBMR>(m-)aUPl=5h)_|P1cmiae~N#XU+@?*ta{{CC+-?Z_UGbc zPhs!9mJ>$6g#h;Fe_;c+ytn?*TPpU+o^_{-8>Twp(ZRi)+nU z?p6Ln2FzsWd?3p`VN1#KR5ro3h0X%zUt$bi_Uq!g6f8kDi->DBX1g$c5Q{`z7#1qw zB_LxgT+VO94>>V8Cf73HCp+4m2p1RV6R!^3EQ6REu?wk=0RoQSssJzvm^pRsXBJWOME5wla#NEKg;|9v%5HV2=z(v$U57ziAG&A4?UsxCo5D1lKed_os z_1I6A1sEtew#U20+YK9(Qafo&82-#$)}lKC*W%9q2)XCK194$74K@N8#z9Y^R5IDaSd7dHlZu+^zQ}do)M zcKYuEGJ|m`CH4NuE=mJUvSu1{j3KEqV~w%Y$Y8q}M{iyV5#iBjzuBnM!ua>tJEZ2+ zn3;Snn$IR?d6(W`NDUmrdOq1N!FqlTaRhl$nFZ>aZySR#$zw}mljgu+W1tI;2Jc@C zrbC$B*Yd6F)MKlJ&*HE>E1?GV8d~%qEkx`({e=O-usqtGLv+eMC6P7lt(oK`qMUGz zEw%RQHT1Q8O8S~outmd9y@s=vT1$gHUSZOLWKF_fSQy?Abafl)8G$SZo_WTJDbFsD_G6sk_A3r@N{@*%%t*PE4F(F`A$i6I zB{GOL$m4C=&G?460-k+`r1wsNyS+ogIxg8>nrV}BQe=^8j#t8@^b`FKU@PAdZ5e%8 z!|i8S!~GU$vnFj-8DzP6Jo72ol>6CY9T|#+QNgMBOQy#a*wntUvsR=Pd|FX|b&)QF zL2{lymxm{>gdZK>{XzeDE#EcLAXwx%>OU|R=8_TmKjS4k7WRD$KNF1qnA2)0tqTgK zFd3z-7;Oc-Tk{q)DY6c1-ODgy9OA!b;+O50@eD~z)#raDb*}I#&2suTJTXXu1Ni!o z5nK;VfM>feFj!}lkhc`}Ep*-^TdjGv3*q$GOBp=cYZ8%7hBe;L%8nAOz*R)2?D6u6 zKDvbdvx^e##P7I+{(iN$-8+?FB@R&6U>*^YGm_n+lNYBVfi*y4zs z8Ld86lasxlx60HqwKO?~wItXXZ#7rhTdl3;w^*>HA7IPFvLT-tb*H*f-J)(*_p0mR zB)dc1LoU!8ktu;{LrYAzr6sa0(Amg91z82FO%d@{bCbEC6~5R4-mQ7JqgKuMY;PCi z+pWb0GZG94Q7rU^D(a5M7g_iM>(Tn;tC79paCw4eE40Y`04_n+JmoatK{!5$Ck;db zG)sC+12}pry$<>lyN*PxqAwinerg%8*L{jaJP?#JCkTdFIM0fscFao`gKYf@ZkV0U z4rfL!7krU-6Q4_D=C0wDPF^qrvn@Mt)W>8- z!ANSAI>zk(DCL2ybQ ztfRsW!42djdprD(c*%yS&Pc{&UUbWlLP$Y4d`d*}GfIbsm5jCqGX9az73K(h0~H)+ z#g5J+XtIg4!&}VRM4HhzCK87&^I9z#oU${3mCR8`yHx?qgWg^ww;P?KzBIpd=3z_x z(wYz3IUSIbaif`P=Qj`K6jR41iw099V4za+2r zIIIE-gWJg!xWT_2uDngyiO---26+E9FPS`h)-IOFfwji#F>^zzI$q6)kQNw(gzqHU zAbdoJyju5=_Y$+<(6Azzx2F0A5rw0$r)pZo$8u4w-gRZ03|yWt#Q}fN`}OObg~Nim zniP~a7@$Bm_cgWre;1!?wK)*$zzTOM z92V_B*e^?YYN!A4;TZtDiFsn`GW{bu*dj0sKk73R`>q|Gp7^I15e4)!FWJw%OSmT- zHP)ME3+C{>_+2E!TzeSyczmm1SVXF$7ow+_N%nRZ?n#m4q5+TdMVe3MeH(q#T;$|1X2D1JW*-_|g}0a5?V+7-KuUfJTIMLwrN7dZLH6@{ zFSM@-uJn#U)0rDL!^&Sl%Pc0|QZj(8faPm|cZ{`;Y=zD2%fTUpSP|2l8zEg{dnm_6 z4-^a5W!@F!`N%kvoMypTXBvNRI8D`5d$cX%Yq~A+Z=qd|^c!U#nHSbD3Y2<+++?+k zA{k{zg)S1|31TEVCjK5_;3EPT`I8quO}=2sXnj=pLLlc0Vz4TBfg_IX=xOdm*MY5g zlr@SYhtTs!k>Ry(f^O{Ob+*J)D!sywrm>a9;b!q7)6LkPZpG#(cX}`j?XNS8HJzAY zI(ahtm-E>ftKojyZz0;@znn1q!^Ucyc|(tgbqIU@Os`o<69 z!5;}qy~fKPeF+?AA+a_U`U&Jb(Hii?jZFNE(jFy#^H6fq32J_yel3`Wu2d+NJ}kqx zd#_=+ybS&50U~IONVNP;=ow+S}519dZ= zeJ6cCXRP2U-wSuq&B%)luqNH8nxSt>X6XFj5~mq5>JQivwsF?Al%SctAy|X{u0d%s z;>^L+xtjIjT)S315tpd#@ojO&o}RP-HgG&2;y=$J-ddg$5y+G|4?GYNzgS1}KvdCos*d5qz90>mCTHdlK+kQ zugI9xi7`=$1bCOWlU&{gV{V(jH?;@(5$x!92ZANIZkPKx6Czq-1o`kb!il0{L5;KCvb zt9TG|Ft8h4JOC9FOw?dB{!H{2F(&bs#OUn7jY2%pD6a7c-iT3i`d>s83=A zfd{voyZ`U2n%?Q=OUbG1qS&tM6Z?2?hxxP{f$OrZ}9k#{>#P{Rq2#Fgmz@$JxnxgGVH@u%_I z(3WGx?LcE~=lNJiyG>RK{nB4&y6z_94!c&`f1#*62(D}z-AYZh{d@a8lXEu`6$O53hPUC66Ic$c)E?A(~1}LAq zcU>p9&uKtbhk42%R+FI9Wth{?Yz4i8(3xktPL7y*lub1B(Hliry zGyU_je)uAx{Z8}G$hvy@r)FK}`2Dl7^z-Lszv%1FH}w}~erfjYSJ@?lo`qB2V3%xx z&^jcLyRwH-Exq{7$P;J1S*>cP>DDW#AI{FfYJ3LeI}C1^YPJy!j?MjGKb_5_?sJTg0jy`-K8LQ+Hi1C8$Lce+KXaW+fk z1KfW?KTo%+v;Hrra7H3}o|b(cuHL_jv+Gp8DZhxP6tWfi9l-h`Rm%Sh>baju)J@lm z&OjA%v@sbHCC+ZPh;BHzFZzWHMm{}RkLN_gDJhFp>q@%R3lJx!|z~(Z-MXW*)((s41?+d zSu_ent$KepgyNjdz*$lh?^Gv^+%WcvI**94m$JWD?^h*#NzKI=!ZTH_nG8aBSSuxZ z);XW!R2dn>xIq2VoV5UL$1y+6rj%{7x!Jm%qUu)Hz}ct)s)k~R8EClHaX5mO z(!tt>`fZ;5wX(m{RgDw~vR5$;NK$KY^-J-*#0IE%XG1rIo>6CJALImWWA;`#n&VWf znkZwncniX}=IOS(hzL8!jI12T{3W`!MDLu0YbP&F9gX1(|{(^j7lI%B@m^Ummwr!OEEuH#YJE0 zXL13A5PxTUxo;?EU!}8eO0z4e z<@@Sy@-&dcfiy2=!YD-x3(QS$nko7tOLhULP(f>rn3ncnK6;`^; zB~PZ_ZyiHl;dFKMWed21zTOEjU|RtB0BJ1rbM@5lEV8la?|=xHCKd3*)cZn(Hi}_E zYJ@SRh^@BKpnYfR^PF4s^-NbE&_#XoR%~I>m1<|M{^XhXaMs^CnEd zya4y4x<|7DEQ9WV`}df)4fkJRRANkbz}p*;-R@g1e|xR`<$ie@$l-uq!yz2FhfQ{d zVTUtk=|(7UaMsqrS*kCm`^InMIB+Ibuo^cy0D;y*Sh@Jrw$vIa_39H@+Gm%~i8$z@#nO8FU94n`N@zx8@26>75mKovGiTFOFW)6qrUd|6?wwl9ag5gF6l8FrD5ez$F zXr@B@TGG&~s0^xUz5}u6iST#$V9Y|QS*559((t6bT!mOG;bJ!CLYO5b@H|Ah2;W#z zNmg-sI+P;WFsCX|VG#=N4B#n3khB9!pV(JZZB+(ioiV)9xe@<2;D0p!N8x`Y{)ghf ziX*H=CDAgH6OoI?t+jWaP}c0cK(FBv4qTtdfqhc*O;4PkW1?cWgyy85L;u-madWf0 z(z?>~*wOxb%x1qyEb$CB6&A*$Ufu=YWbjQc7bJr;AcKs0IrVGm%jc+7jWjZKqf;4} zW)B=%ehB0f)mSPwStYERqQ3<<@FBryHbhifBaycu!6;Vc4Dl-AGYmaa_g~_Hs*xu1 zY4uk1ZR_`N4JAKY_f(RAuxzg-srt^>e^dAZt@1z!41vpYc8OKpM@Sz=wV`PPJ?KP55Qh{V;FdRy*g3JiEkf@c|bA07;}cI zpb*qwZ51GN6|}g>|L5Q(hC)lQDyU*N!l#7)3dmJOaa)98ng{5F{qgt$w$llJMk>yp zIDF#thwv)YTI0}7pT=@HpmjJ22YRwduaoLCejTWN{mb&*^xNpma=$0u#c_c8(JZz& z%LxRa|8nj^%QY1z1LfAeo)Dxx`bP>O3pvf5sRtJFq8JZQ1m6sN(>#hV#{=lTTm@d; zY8cInR1BmHxhG2Zb>i4}DSe&X?2j;LwJbkiC>?IXfxeCSfk?-j<^>Tx41zm;?T?)A zsWkI602*lE2XgZQBe695QF3B462G{c=?bjQdXR;r@3_F?kxu;eg)5k>>|?Of3iNn>Y>-KR}eAX9Uss-53D`>ue8(TjKyxLSr0g zEFrX6O@e0dyNd>-br6^LSHe~*Wcm%ffSw<;0{!i6I@k<+D4{V9r%uh;if5>TK7hl^)v7>cFd7nlho2W)NjzJn zatNgU&=4YhAU;IN4k+f4O8!qa07i4HPd4x!m!J%kH|(KOIs9*=2-HA>oRD^{6AqNa zR)DtakVXwfyV>(N(6sPt&*cU*fL^Qn0?7xA#PBcW2PkZG?V5aG>)st-E%>hLjS~Gf z-dDeu^Hu%22pQOuHL&O6Ixu6(;efW`LOAfpM*Kk3;`Do9mhsDTeQ=iQ%gfyOZ5#)_ zjdk^Si~9|n0nvx%3|gU2mP5C&Rilvcue2Ml!5QTEgQL*5Q>s6QaB1A>ij{blwQXL5 z(DiZwPPnd@wXnDnaS2@!I)m@hJW6?cD-B%{q8MwO;AgU0z1o(7(*A|cq5+LUT6GwW z9f}sT1%_tz3M#Fk(1#|z;!?E}vKii~kfaX`jbew9P9o_A6EY#3TSB4D6QP?>4K0Ie zQO$=!uAyBzb<&&CTF3ZMYbE0_)vQJ$Vj{i+`Nf$|h+92fiYjZ|D*N^cHIU^8&QW%T z2nQ~1gaeU{C*lVpyH11Pj$ivW&wDnt{agQgwk*3A8)%?`AJBV-j{t2lmwKJDNMI0c zXbgxni@$1ikkc-pmB5sWDXvYN(=gmtUwAvcJV|+RcyI9Zr1?X;lKVsmrSpgm*9s|7 zucDkolaO?SvulmHIlw@blR~_wK26_17ZI2fUymeB;X;m6^!97d+_kpc)vt0mpl!J2 zabQ<#^1%Hrjsr9=AWCQ~Cv1hDy!}h}cMR!jt!G7EEo~_RD9wxR$}#m9r+fp4_qgI^XecgVp$~rZOE`70nf>$7ax* zcdVlr;m40*StX4eV~0^t6_Bj3fRDk~qm=9CmHu4mJf0Mi2s}LPrC%G5u%Eb#xljtVXL$f?m|8m>8SmO3T^ZZ zplKpZ4hOUiSHgjT4f6t;3uv3JWQ*WvJms$K)6{|Io5z7T4jc^}AWCSA1308>n`_7Y z9Ts^p6018`$BbTFnxcErH)qi5yp zh!Jt@Tn#t<&<9_McDBxQIG`=J6AsW0kKDDhF&=2)^E43QK+ayV4>Vvn71oW}E7lZ2 z0>uNZg}*w#2V5e}GUe|{Vxfb(6ftqC?(Ss*vHnNs$IE7 ztB}k%9nWer zb?96p!U5XpmAiIadk4pxJPkxRkee5f<&pR~CdK)4Ob)`?P`v-L}^`oyHYZq&6f;3J&F`zp@JOpVNjD?htVl<&cT+HZ% zp<@0`$RJ#-RFTY}2sncUM|#RW?~6XVFVff*eA;*4?NR1!nXD?F~1Es67Z8KNvp-@ez{H&I7#$f_(^8 z0iB!75@eac!8ZaY4|u>C+LJIu?Me6vLPl#82kwbPyN4M$90-h;vN+Jz$e(Yxd$oFC zeI3Vv1<`I^gXlq{0nHNVJfj`$HtK+0lv4fMZs_Tq*u;os*ajr<0$M-%s*^Wa`tOfc z9d;K+f3)8)`m4i9hf(-?d2T}g4M@-lh>^d+24yWM6Ry|5qJX}TR?MAA#QfuKR@;yx z#1wO<8r+O6wW{Q6)&%Dg#OPY5C4T0X+D`x%uN7m0ZvI8#dR{LJ6Wzox_Iu+_oXOb1 zen&1dF7qyR>g4r_$wB9!U-+4|MgA*sn)!GBSmGailU!_H;f*){!`4V-ry(sk8r)Hi z_3x;J?>;9#aAx`uZW7LBQ2V*_X5#i6G-ehkI}CI#ONxJv@Fe3Q-p?{_O0!D`K@56&*3aUpN3c3-r>{!b%5 z7IZ^jr`8_~ozFBUB%o1%`f~6kXWMCmNxXlMM$SuCp)h3?1JUyYyf58(f? z;NlZ+n*Eu4DRHBHuG`lCFjyn6clFT_+*;8RS0A zqZ;v{XK%3Hm>27_b_un#)r%7TXM|+@Bqi-iBWh*a&L-rD zESK;NJX;B0sJ>oFcZ1|>vT_Hll@-E_`Ftb#cFX$U`B_!UFJ{6)8xolzJu(@EWk$D;bsGCXqK zh`0**Cva(AllBq7FYBwN08Jlhn|=;=)PMJse{L)=jk#I*Ku^#GjSG36a8K28(~c)T z7SMVDQGy-|qVZl>AjZT3R`DCBs>;XTKWG-}v`{C@a6=u}$wi<;mr^;L~CA(+6%;mvLAesK$*0M|`$ zja9B2;To-6BUz1ezxXq+0axf)i@>Sf$!ZX{w#gW+%Nj_9nwR*xMflzQ9(7>G@ZVeV zsJ%b~WV0GFL?8Hii6;eUr}ra;%}Y?G>U69IJRNUh}wEF zP$YM*453B%C1=^hDT2lYLd}%InA}=YXUGiWV@ZUtw8Jc;5H>o+CWo-mE;ZVV#&8-A zEYAkCV~z12!A*80X?6?+sD{&Nw2j&p^cH8+=WNt=R=H_`v}guRC+q0e&(i=}KZ^q` zjI%AROLjhs?A51HPtiIu;XwR45c~Z0@|>pu&;WWZ?&uk`UO<$PN)Q4>tH$&uV1>jw zZ1>s`A4T!*Xayl2QG^D$6@)0|ZP!5=>ARud{}4IF>DX*&>{QG%R2dG(D)&%ms-@W$ zS;>Y9%rM1JLNu#C5MZbZ1M8MbizSZ(x=&90EfIX?vd%aUNm-bP3UxNM~y{BcDs_uVn$igOt|+on4ke&4jqzDy;H1DF@gWSA5Pk`eW0Q=C%t;k>MPU$(^l zODl&1TDP1iAqAVzIVp(TAt#`re#g?GoUUjjTTz90xG+8bk?= zwMq5700P+^Ts!9fb2t$3{8}%G<{YvC2lRdu;_`7KO<2XMoJyPuVKvP6PgQt8+D3a; ze<*5=JPzb=V2)E|WYk`>)7c#7X1F<{zP}*r9;Dn9hWP)3$6igI1{&i)!kFUpgC0?e zrO@wk+Js-GS&kUcLvtEJogkr$&-rPlK{07b9$Bc&%JjeqU_WcNe}nf=hK;_|U%@H@ z!f0t9oeh(d`*i|MMtymAe)mi)yOV?c{cR2hrZQR!pn1WCut!bnXL?>ROX=ThOqgzE zpl71j3nD&YFxn!1?e7xpZ2EZ`01ZG6p!+iM14Ie?f*;7O2;}&I91qY-p#$*%Ecv6@ zT0kG=Px{62l>lw^ntb)yl|OP*`V zcATV9Y0=sN@dj}mi12}MAi{(U-0>@3*G<)(r-7|;fGA;m>jjN9N#gCS-2fAe9=kS2 z_;O4r&GvobI+|hSaR|0VSf@P%feO#6hp~TksKvKjUKIq+tG{RTM#{DxDUXbt5nD)?sEQ&yTC^qKnbFT>> zhTwD?AdK~Ma2i8#k}b59*hugPTk`=4qguU%27-zah@#3s=W-j$rvznbs&xM4`O4w| z@=s^koQ;!;^m$d?8{`9c)o^`zTnFac1GVM$Ty4j3K=p_u^^OenjbyV}-=m!%#Fx{Z z#%4PC1`6Jdu05BleHl}p2C_H+{Q<&(QiTI=Hq7_aXyZs`Nc=#=Ck%o+;uDA;h~^6! zxCa^-TlwOldsr#w>H%vC<7PKmJ>F-sT-T%**_Q}-8DOw(hl6Bot& zXV6D;q@~5}O{A$!uFOWUO`74x#xQPDzb_!wrHv7zyDhI}N^+i?e3veBZ zSBif%s^Ke@nnAmc3z)8>e{ayoRxL17*K z4DQT$u_1N+j_#C7`>n=;cu(KH8y|qrXncKDKi%8!^Edz+$m*8USTIGc8PV!tDH=-4 zig#x$7=W`9N#=Q8)%bYdMtoN10cfN z@K31|2$-=X>)!sjuvES6NbwjSWZek5h3$Z)C(OEDL63c-?}(p}}Ax!UJroVi}bsr}c*V$gAJP(C^p z6=y>EB(*<&OTGUQGQpeFaDDKf>2hs{=<#NAN}nU4d1F7 zGC2OC@#pPr#vkN+?6OhsCST$RJrxn2=l0i+SBkn3{mnxl{oe*<@BtY}DEzoUC0 z|0nCT)1wd3cXVxkB+BCeXdpWl46)8YWs!W~f=2U#KCG8n3+?R^b|&TpBeU*vql#6( zdwa~@oAKvq05s4r7LeSWC_&2!1N6P^*{serK7H99JvOC!X-<=<2+yRKER5a=oCexN zvcMvQ&Sc}=+76kr&1040s|4ivCeyOI+}wk{C7q-KSn45Eh*GhTilI^=-(#|AlP{%> z@+sdPxAczR>`lsRN^zr(vN$lsDMO7B4m<-Kcpm&a_5A|4hhfYlcL%u70-%z+JKSev z{ZE7YkgWeN;3j^O!Zhaono_Cbd7-@icmII~IGRlL7P_)K9_3{fhwu1QcvEmVpDl`RsNHI zQ9de+R6Z(Q=0+zE3>i4;$=G5Dw&R(d_wJZ(1?NaiB9vez1aQ!mbyM1zJl&>wdK|QlN(&Uvc>h zahb&Nr4(A^Y|(7J`y_++Ia?Pwy%BAH27Awx&6!RJMb>5nG)1|ZG4_I$*qqMj=_-|G zK(EM3&G(UZWth__qZnwtiX@stlsm2)n#TdOcx=7Eg&nyPc1feAZdx?E=W~;%0nor! zbHj7dQyfmcSI^HbgsTnwXt#%n_0W%`merr4{QBW7Np6^NA>BEx0yFyz^f?Ez2KtKh zI+=S0-4hfSeO=6ZA+8L|g!)?*CP^`rTV%t689zcuyaTSR3PjrEuWzJPsVR z60vyONA7KLpfzwn_x&B|l&=?1%gJmjVmo@18^hYB{x1D_p^!5R3Se_4+T-PI85BC!f?3*lDqHxSoq#JX0+RUp^#bXdd3i*eEj z)8Rc0;iih2eoy4tM!6Ym$C@g?^^%@^)OPJoBNW<>~(U3ey{52ouWblZIxl0RZUW*NcbR>r@TSBQ*13ipbD2T$;a?1!vJ)crx+jbKzz;bH9o7f~l! zmu0M!on&m1j=Y{_+^XQOK4X)-9sf0u7aR+{Y34NRWBX(Nu|@g#(E89`ZcX#&@#$ik z{~z2dkcVaVhkTi}%wA?a2=}O9dbVFv+DeOq{TgHZCRr7HhO|HNqCaxSQ)>!zxo`83 z!+|+Yb=EdrJJ_b1Z`Fc0((%fHd*9}5-=ubMmTG6}F2aEP=~134ThW3}k%HpcqKv-zE&r~@%9^If`g~r3{D#ZZtS|3 zgJTdpxCn;PDU1=^EvkY)`)NGwf2wH;dDy?Tki&u5&Z%rLTDydug*gK8|Jp8PV9f(p zWwAlvtjL{#3}GpVZn`00D^YXJm-tk1E1xL1{0~osv&95&swu@(Yq)&WnQD$if4&m*kqNcWZ0k;M zL@*XzevWW=RJkd3&zNHjb%t3E8x#D|80n0H zOOQMxc^p>c#;^yHX8;2e@F};xr}EZb-3KXtY`6;99{J8t2v`C(Az?X{o?&OF5c#py zY)@ANL1mBvdTntFq&0~k+{>@ zLlwqorwa5^iQj5*10SYJ-5%-hoqn;m;@vyB-5asy#sbU%AO|L%@b|#;0l@bB+;DHy zc+-osVJrZ`oT%hQBz+`$z^+5|X2@=FM=!@6T3)z=2gIFtLU0LYI}Rx&o@qB=3RqCc zlj;q*IYD>&u&HUMfc*esX^`{pD+CfpbT zWYa4=ZJ3Yu4yy+5NeH)DRzq4Ee_IV-8-C3Zrj%`xe(WvT7AwVq_N z6w>I^*(CdPJRioYlw`Uy+)b~=_I!?VIH1>vY0ch*g4 zNcVWsC$9`>A2GNKJ_z>`-W!vQ-bl3yh(NwSL@q1AKg7>E(Hf)@>C^6IhYtW}RgKe7 zuuIvZ6|72i>NM?DhDAceT40fOE3GDzb}IRTcY@~XrEn4A=#bS}*TGIDIUJbArt%jt z*DHaYqp8k|<^n5^17FsA4xV>$9PlwdYD$Q8cFbsoqd%}@NA!^lT+vJgM_FQ~Lj8C+ zeyQ)I6sMqPV)RUCkRh2eS^dVyi}|D)XFDSLrc;AWDKGn{R?s@xwPP*Y;lKgL<~hfP z(fI{>OzMcknfgQd}igBK~qb7s^TOhwy;}2CYPXYvI>EtYBMk0(7;xR#Zc?^}~Wj*P<%RjjA=VMz1@_m-@!mRX zM6k-v_?Lz6+FUN@AK5E_B%kB|Pu?e1rS~y^N<8U&%0Ce!ptHx=ML`;vv0OY!_$1!r zb?g*q>umu_Qrt_q5x$EQ%EAx$2lzF@4+VXDe(*70%|8|&^Vh}eh;cR7YmgL|q44fm zKgGE7Rf`FFeZn%*St6y9Ujw(6b`^5cK1O{2<$q5j2v#jHHNusf-Tq#UPQ(|J#&a50 zXgRFkb7)l4K$lcA-5c=bOw3VdVlF!q(yf^YQ9+!s*=2BQ*jWvU7iPH_ zA*--nN3wJBsfJvPeurS5TrW9$HEBVLe*)5E4(v5zu1jCgXm}>&G%1w(^lUz~)(4fs zG;XnanaZ{#%HbPzc0I=4VsbNb=~dpwC5)HO!YsN<#eKEP^&jYN-lN>)Q*Ql|+(uL; z>`+W_32bNh5t-%eK-=u~6e<5U!Bl1RZe<8z@unhA@$x zoNst7oHMa%*_SUiWMZ*dVa&50awtCP#k<#&ckk=3hc!(*RBkLF+v9^lktJ9gitLc5 zsgh$?(=N-dj>50yJ#KZQpGD(H(E!4)eN9XZXIC|5Nc$jb%c?S zNod3|jL~ov;AEp{C4n#uJQ~&!ehF7@9bshli-U!FayXDMqVtXqCpN3$+Pl4Q|Hpo? zwB)YWWE=+`Ku;n{faX9WexQLIwsP#a?`Mp9fj_%m(%)8}ZTHb&Qxk_LPILXRN^X(S zmvyx9Gb=r$wmRQh?6s5MOFSPMY_hf9AI%qu0SSY3OI$9`OiXZQ!&u&g#BcdM?&m=( z(_mf1t>#E}t=9wi^AB+y|Gwz!|Ijzs_w9?>ckP?PIpA9uyUBh=9+$k*I^Vb1ZxTzq zQO4BdXjsXK{4d29-L38>{T*jI>`9TrjQ3H$rcp`295v*0#|TII4+eA`z6Bi#T4yN+ zN0df9glx~D{!NU(v~MiMrvQ6yYrrU411;p!V|e5mH?hjF60%l{jmF8ZqpceeCdFSz zEVr>6gR$xh*cn8MjNQn_2Dey*Y479x*q{$`K{39CUrOCENEZ%Y!JWcxIgU7@7+M+= z*jV7}qin2G$se{RB2*?)I{pH5zZqPywt z6Uj98{OyYt-!_f?mF~7V*AvA1r-8UfX#Z zh;RU_t?1N*0Mw_M*+#9?RzUWhz)GoU_P{Pe;wV~R?ADeCXzR>>pZ4G+QVJIma3Oxh`8R`WRKjz&$Vhswxb1Nj=r*Fe4o@->jJfqV_*Yv8}N2DZRrUxo^A!Rmxxj=6HJ zopCZu{kxe)w)_5D>*!!FHyT}C(9>@Ci^>eaPWB>K?kVy;IDYHhdUvQ-=h7P47I(8* zEUUatY@^HA2J;rN-mMH;x@kteVUhQRTM-oSb#`;U)?ULMu{6BdtdXyK3;7zeR!;C% zyZ!x&U=??vE#F!=rfci}Tex<$wjT3Vm@C=m_6qYe2F-bUx%sjEk=aUAgsout=R^Ag z^ANGjecxPV&kipNo`ke^sr{ZiBKRNZ=U?w^k?;ET_G-7eUxl>F{i5vD!q(T2#dp4IThY|S>;T!hkEbX@7j0UH`!w)6OxUQ%tl|wYEV5S zU+rNL_YmwwstG5^70~!^1)mmtnta)7!D$CT9e-SGK|W5$euC@eo3b+4><)LBY{y>l z3i&2pC~Cq&+$*rR>Q(+Kp62j5;#HH&LVH9o$E*lmwdY#*c~!wizQJD4zp#IvygXSE zKANnN>-@F$!^t)Fq~vP8%6^`&wAcA7+!Ii`4fyTi&+s5b3>jJgdlbTX+!OQ6_k?Hq z_9Cjs)KE^}I8tDIXXQ*6)8XM{}F-#6f zR0KbTq>{0WRgadgb6cP#Km~RiSrPj9-^3@#jqV2izsdW(^(g=KXy5C77XBjn1)Cu6 z_tx1J!CJn?rksxm)`LFk<%j^9`(8z`(pTd)n%kl&X**q@<|GZGd;HV4b(54Z@<<%wXSWx?)vJJ!Lj zlc!r5d!jcVQd&>}YbJ(?X1vZ-z#k4n4*ur7=`1swi<}Brb(B@zMbpEC@oqxfgJ0mn5PFL^Oy3=8a_6B6MCGz$K_Nb6$=rw$q zcoXePrD-E8eQZsH?jqTxV%D#rk%P-P8YR9Wk%4mICV8im@vg^i!wl?j(S>$1r=d)B z?iTZ^uviinY%(Xv3eO4Wi~Gbza|0`uv%OQo`z$L=%iFy9=ppO*7TB?V5@Fu}J}@?) ze?Odv=O?X6xKEG^ymjs*z7}ujn(M7`pX3#RV>|XFl-dZ}qedLWFd~@GYmwHI{AKiT z>SZH>GptSWWxk5PEGoQ}Zd-o{?nSs`CyKs;lg&he)f*4U2jvi%k;mJAR#i}M*1=-T1UVf0 z4>zNoHkljI=3~4K?m)lZT#t7(@{0uduT$af^46+w^`;1G<@~S>=o6N~QNGpoDk?(~ zy(Nhqkmwf=qDKh#3wa+x(7OjwzQNW?xze=4h4Oj4U6ELUxYq-T32iCNV4%%|a;3~T zfAwEve`DieGk-*|0@U_7Ha30+>SESHZ+`fx{Rv-gqyPFR!s-_ber{G+Cy^^;Y)IG= zmeV?+&6mlaLf>q?-z#*e{n1w@*%rHB9sxQmVE5@7;1k3IllFbqVNWKxsYDgQW^+U! z!s>7oo+daq`QyYUlu6M{lF6Ht@7?|b@C6m2)M#pXH+)-S@8(2rBHPR-SQGq=oB+Q} zI3k#YHfk+eo7!%A9edenjk?F(`kS|rzwB(l|0I-t62ceDDc*XXmUV1B?+65JAI$Y$ zhCd7PjqmZ z`)&8{&ZtBUJ58Pm6oi(a)sua$Yrvh&CNnDap+J|;m)Nl4WwRm+}ANZi1D(>t#x^aCGR0In}iq9AA_ySR3 zrtn+O+KD=TIcRwyPswZWy9ImP{x^A>mkBq!uJMcH&yxlyq)__8sT$dgWCa5T-M4Ceg_w+V~1Wlt0K6;E0^ni z5gu+80(siHj%@3`%8wLpghhhzPhH7ZL2pY?Pb+|%Z}?065y2Ms8c@m>w@XO(9{A_@ z-vM?jZ$l9gT1%y0q*%OlLL%)z{mBVffm4_g<-ur4EWMr6!PQ6iTosS zf&d-(HQ{EvJNEyTg{@&tf0JE=wtCX5m3Mm^F>0P5j^vB64|-v^!TlUOzzO_rjGFYc zLQw~~u7=NAH!a@>5-tljCswN(3H&m- z!vEY|8m@LvK>ptHPQVznI6R&g$i?3AVzpafz2+T{w)q?}bjR;!?x%hRx%`BFgL*e3 zuS&3Bl9dUb4Hg9d2sT*nSUs)pTg};HL4|)o@?7gXppJ3;E>)%N!vawxy6~fsgKo&l zD&%N^=*%Az|8V}{q{E|m7v$0kALE^oQ!8A+i;-I^T*W&f$5z;lcSNqOu!tAoiy~f+ z{8fSG7(0@GC?E3|hIQ_8aRS!k9|r~1qh=ZFf_m+OG_0^QQnSKhq-%wpkOM31h+05w z;vYDWfLgopbrjyFQF{fzts;bX5WW-coe+k zC(o12dkGXUl3A=T>S6hhK(ML}fN1`qeqd-jXeM_8d{nM*Y)Vr&!N#05P zc-|kQWu2dv7lp?QI^AIlMxll17qC!o-Rsr)tE^YNMb`2B1o4*jmP2n|_lJ3#+#VR8 zP5^z8&nv-gprH-!UHqKn9N91Vnv}`8GE8okosygIzZlQ+WHapIT<;$*79qwl-Z#NV z(zjQ5i-0W0TVqs@=*%xdX8DT|Jy9~omWFSOr;zGdGA%#y{vhABe0*I5 zpQn%}`L0#IEG)wFJO2N`>hR*=6n2!`E!jEQ4sCb1)z-}@tf|kIakFb@%QjACykk(G zl*7(Adq9`)53&pTqOBEpdCcf=5=Oft-H89g2>s2V;vYrpa9P2&vkHLHPd)6@U zYju3SQxOoSxQTDHmxdb*Biw*vaHGHWutNKQx71pXIr3QV3$qO6dBR%<9_E>3``}UF z-iTl(_?EZ5v6wxK1y4{cU-e!Q@4F6L%iqU|FE7DO#5~iQX}+)K1dF|y=1l8-*J9w| z*<#ND*Ey5V;4{TUlz6qh8Z+nBrV*|L-%}NA_TP7H%%LePYS16=Fla^?C3t?DMq`p9N+-;yr6V1L?=p$!Gbq;#spC)?~o#x_op-(Q!a|wWxSb6Pyu`S0JZ2vq z0}J2H_7lW=yC)b4oPd-N{r6sQEXK-WBTgBTsW!PmgvX&q!un-I@N z>>jKL4B){{@R`Fmin)A~V0^QP@DR}&+*M0{4VwU-LUZ_& zfwG%jn!l8wmeS!rAqTP`Wjn(C4-mNoyj>HXUMmHUWywc8qU!$Qmne#ssO zH>o8xkMoDTTUl#f27Fitge#$aW^kr+kFN@0(sr{(tU= za(LnsIWe(9Udq?VKPSGx%yqpi;2Wf{Hp&3<635z%|2nwq2^?ZxBa9fJW#tmpy zqK9vak>EP(!EIj&Y3WTk*C_+}SH?=qTj5_LM|j_aWGo|UAVntR_=Vd7Z3ZsE8i$%4 z=htCuuEWe=nnM<)u6Dn#Bv%vRsx|+Y*V?VE2fW|9B#&(^u5j=5ra84{t@A6lwe@%J zDb#JDd=Yl2=5gxD zc7gT07mDlsXHW+f!M73L7VeA9m=jKiPb+H^|CO5t9li@`%3`=n`E{%e($-qkOe--5 zVR|U8rU&{RWEcF~h!+xN#QT}on_tUb=BJ4?U+UlK{MkN~y^K2Q$=)ypS^zk}DCYn1 zk#}J66W+}ZVn@n-oC33bcnYVyGd4)M3c&xCic8&_{RjDj*4^G;@ZTeRQ2dnt1$1_9 zVzVqYOTp1!LeeHV*x!t~#7yMiGS)sURh09xR|a`{DdtG!sNX4GIb4^5o^N(ueoq6f+zd-*Uid0xNP==F0=O^%!MR$au5GRO> z&1v#Ai(~{92%QRFLx^Mf|5N2%kJ&3pOFCx57eJOkagLLe#!0*Y^Vc-^==G@GBSm}s z(%gS7^0r(QSgXDHhy_qhoQ8X0;<5xwp#6bH?>NiCixV3hExq^&q!%g3gfD{hp(7*% zk<0=zeCJs7y?P(~u|txJhhmb8M^UN`P66h~B)RwiaZ#COd6S%aq*@2MJz34`kHs8Z zpqC>oMv6hm=~VAz5-71|U}?U8s*q7wY(Ad_l5>TCX0`io3l9O141X zfO;UeB4pB%h0EP_{Au(E6rkbS;(n;;bQxM=Ge*V_`6j$3xy*+?wK_>HS0)^b5La5Y-G9xHvV#Bh{4BMIqi-VtEh7syh-J<(()u zct-SRqC+b@QnZGwf_h9!;ryLI>(+cT>bpi_E`>Dj#j09+a03))#yf@+!@(gw}C;y>OO_^y?pQDGJEWfk(Dk}FZG%OOj>mXUq1ReTsw-p6^E zp12G)y=cv>BJk{$pac41C04&yVbtKkx2&0}%COhixZbOmGqJ0imR3Ucj%7H9Cm66B zI15U1!SCJR70Zzr;WPe)9BY|C*fhq-3BaYL;%&!cSMpoXchku4`(E0;g#DkpTAm>) zsCgtFc1r7`Z+K>l$hLL>K(h<_9D{tZu5 zGmqh$wA;$cfFHaMxp~?nN#nwBI=H`w@UGM@wMH}I0X|b))Muj9ypEOHpV63U(%TG! zbP%KAX`t%Gf=0X=Io&^ne-ABBBgztSDv<9KAYWUz7vJQj(TC`&h5V+iyU{(xT7oiS z{Iux|S6r_8O<q6Wy?m-Vygk3yG+bs&w&=J1h4{JNdZ=kPZ5L~p8a#TFV^%tyC42f1&5<+Y$-;2Bi!tp z7!$7J-!(~cdM;|k$KN~IOr?@EV-A9?riyHKWj`yadq)))60pW|R7rYNGtigcmq&1d$d%e#g zDJ#mJQ2a}VFt7}>$e9fqL+cAAihomHQ2J$v9_NfO+tJ4?} zi{&k_*glI*ld zaX|5v4U&K#1x*@S$9Nc_Is(;la{Yh9C$~Nmt;2NXv=T#-l9aW`YlpSrMSLObk8qhX z=lT7^4&q0=4XpaU5mv&wW`XQLnk$leE6Mghvf5Z5c*timvsInm#m@*@1#8g*hOio} zUfl04HXe3=#3#8)^Y88|w$?p7@&DXK;#2p1G2K1ce#L#;p6|}Fr@G%0Z@RBQzvWl< zGPhnXb}LxQz?t#JIf=84gmaTM2b{KH4Y6)ETRO8$%lRI5Z;ml;um-T`ZQsNI-r(k~ ztQ5W+V`Z%Q=GicYGzfNs7sEw9WAObcMqfV27-3+?KO1YLEd8dRQDbPAYm7)FpdT{` z>mOZ>#|=uAJEwxG=$n*7v5qzt;Ok2ChfVx&(bl~l^~uCh-VFHz)Bv1V`?;2ljKn!7<-+M4(4G@dBc1O zGdtL$mh+%}Mp`&8vA=>ZhW?4k!pUL^pKtddx17!wpq}S=li{-vV`xpd5QUrQPxr9V z64JbSS&VGn%ScwY#2N1|ai~B34Xal;Q%>DyWB&I#BzSW@(mq%McAHWjvJ=o(|D5`&mD%h=h5>+~|G zVtO^k44pGUzooo|Gd*D@7$>TWsQYzmo^_^SIp4?oL0Nk3XAZGUXO20@7-F4koz2S- zi-gtDUgjO9&V}^NDaAAzve9H#w~`_cD<71%<@)Yey|3zQ%d1;#Pdej z9314;{AzG`D=}Js?h^vWsNW!WE!r0|f#Bc48Nu-2eE3|98OiV5=AyacP}1ORuVL@O zQhIYp%4g z0pz7RuIb>m9zdMef;wOHUc+p>8Gj99c{(hBwf5=OYu5ks9uU(}`ss-00X`0We;!J= z1zZrMynKdg0V-?zY(5GQ+Y*$xAdzOT`AhxtVMl5N-rt^l%fB4|KS>Tuo|_cmt#Dt3 z|3Pl<{g2(Z{5e>`f~EoJuvm`v-sGc@!w!g(>c1jrj#QeX+@xQ1uh$&2n>13^En8NqP-tOov|scMNVuVE0{MJT|KDDXoF*5`;aF*|l^@F2Jd$3l2gT95H~oi#m%!Ds zWAPKI)J02^;}2`<$c~oF42EapVl;c z`US#~=VAW+fOj`quY-7qWAzkzZ{+S^5?$20$H3K9(MY}9!R&^be!_3Yr$IuZ^%X!D zm>XB%4!uH*BF!+9PKAV+oB1a6g@>GtkhwkNbb-VJy$-P*iP+xr5F6Y@4Ka5_emY?7 zmUx0y>TQv7Qy$RI5K|_+82oaJARV5u-8C!fC;A$UKBHIne**o1dZb_HzTnWB_6y=@ zwCMy$q82$_p?R{%IU3U97r?s^&r~bNI9*V-ju`cdtRj?T3sUVO-b77Y4Cw)V7uN;2 z2qhv-fHwuLAkXkNyKh?EAc5_MXDjRqv?+rB>)yriXIMvmLG_7EXsK?XtM{=szsT=~ z^@VPrsLwp)1o|+fKk)+mkW<``hTMqwuw$&H-Xedxvj#Jf@{rcg5zEE0=X2vDXh465w!?Y3@rleYw*3ueayKBeU@64 zc;l`Oym41m{%&Xo;*BSQH$GZChEOW!$bA>o@*HRm5MI2Z@M4;r>z(IL^Ns`+)Pjmg zGKKZ=-MwTNQ1CroA^TA25A1Gq2ln^zHS+_zB)gM)gSBKILlK}CzZubR*iWnl8_n2s z>lKWZoSg#>D}#B(nPi;`w)*Ke4Fv6DPJ}Q0KB<1sr1LA(`+en^W z2*A=>% zb&GmFhw_W(Qbu|Zyy48oGuaF3xgRX7Q9i&&I|Eh7(Z*z0+*g@{FJ~>Fc|h;yTKad4 zl~8#bXatGTcvEFbb1KrB3b}tDj+`3023r_ZV;uV#sHW(bYJ@Y`i&QDUV$D!BTgJ)W z7roax3XGTV9-<5U4o3JE_@16kLzjT;2xnj{itLEis`qDG^!*H{Ida31k2G?_*egz2 zmF%VLFL=1@GgIZ6fkS~P+)SJXpbwRv%yiD@I8{alF)mQQC>dlh6!Tn`O$oN`^|P+q zDXMOD4V;Y{pqePaUc(~*huv|#VLcoiStpOS2>>I3`4vO&u6Ke zPI1o2J|B{OE~VEh-ZG>!&~UBeU_F@9gH25J+dTVgWq+ru8YvK@gFKC4?vBGK=$EdS z*Z>vpY|J;52TpnKgPic}Y42o_v0Bwc8LLGA5WYJ39c`mdeP`Jd-;rZ=+B;+VG%!p{ z)oH<++A?S(eMTH-`Xn$D-%T-V(W?3cFic+6>0g-ns1sy#jU|z%GL}&p(>COZw#b?| z$VFKbHnWx_In$QpsD^J*HAWOgA8N}|oV5{@W7sjZ3UER_W~f$gb4Ko9FwRA zb%gmH(Fx9lU?kn3?+6J3YoIXzIi@cu+&~}#^37Uc_LhKsbJrXKf0Ny6_J#}sO1#zr zthifD;-m?p&a>GVs}?i!n{lU_gf@Hr%GW@?2J$tKuYr6Gb1Nj=r*Fe4o@->jJ zfqV_*YoLkNKy>c8mJ^cA>gHKl;lXRKzG1T*BXLpu>A%qpDGww+kl`2^Xk?J>`jGBs z0i;qDLC$u)!$bHeVG)Hsh-xlR10?A?j))+;K0r8dT6T?qaG;BN7u^oVUXrJQ2nWdO zdKZNWq^v~iMX+Fx?p~n$TB!RW>fRAJQOJ`(1x*=|)%nhlYiIB-4VgZjo1A2>(F;K` z!89PsG@NIu&UnT-7-a}a=VBD|Q&n8_rG6$CKnU@7#+Uns;`UWK`=&Izl1dH-^tvG7 z!0FkwLCp{7^+9rfC%Z@2;fWRK7W|bH68QF^2sA%PaP&u( z>;g`q!pLCHF-!Eo>FK@j9vioDW?gTz{p^ObZdmeU>iw2J^@`ku7H|c9oleS%PQU5G z8lQec&mtQOQZP;?L`cx76o*j^yilQyVpxzGVN5AvD^>17ZZT|M+~(TZTFKJ@YBtLc z==J{y2WZ7xH3Z3vf@Wdo(NH7_~Kjur>GZF>f30zrv`*nC^hL zk4_8UcU=C?(?OmFayUSmOSChwgx$j?JHxP}iL-Pg6gW6*>)R~f zK!8>mY=?+XZ3{cK5gOe3i7f6u%pmH)j-MS|h!Szo1tn@$Z@&wjvQde^CA2vXNRd); z9tu|!dus!FXHMFwm;Dmcu=nY7XGB;hFGx%lTjVl#gRxnTw_b2I$V=R}%m|-O#P=CB zb2uFLa(*bY)f`5jE}p;%yqI^uNU}bs(=yV~ZL18bX}$xoCrH+>%!M#ZqSN|@C>O~$15 zV5~ESS2{Q1|AznH-j@JKQCxpl*L3&v%=Anz2)ZchpdyRss;Fp;42KGeii!r$fH7W4 zl*A-z^dE;kK$pYiR1R@LMFkZlib|ql6otftn0Q5#pz$+a5%7w^DBthB>Ynb|omoIR z7OaM?sjjZ$y;rYZy?S-wZw&rMYvyx`b+DLq#>5zMA4v??~OEh zq{-m~bp-|4APv|cuQl?pKjyhzQ(HcTXEkyFY8>vLq&s?Tu|4b)by!9n6E!%+4DHPw zE9>1cqTa4C8vt9qJ6hB_^~oB9j6#c4?U!^Qy6IA+Xk{!vAXYpuDBM-A{mK@N0} zwElzq)=#sD{n2(kBO9&sh+nmM%4K)7k}TrW?HNH^Co5slnn@O@)*R#-w&ohlDAf8h z3W9BmxJ8!x@Kcb3>S?Pm6Jf<@KM0j^9J}r4D_Xo=2=C^H8|d39UN^wn8ogTo+FHtO ztua|U6d~-T?6}|(I2gk+=yxc)$$~?c##f1v_UouM=r_iEN zpaXP`=m1?2n#cE?4Dt(g0M(Xjp{s}Y)pzB*Xj|IGz;1o=*nB0e4>VgMPACTq-rq}d z;DjQ5AlUy)LrRULUY zH219`zUG1Ea)3CYSPm3h2;-v3q7nQzl?JrxAZqWg!P#iA=@)bXH9qJF{@c`iwCPLO z)EIoz{6jgQ&i7IJfEpv{^#k9Ox?4y3=5l~Ip;!)RePHXT$*&J(CE3jny#OC>uolYSsXTL+}xe z7sx)Cn` zV9|Hv>5-Yllev`bVfDufAzBY4>)Ccd>lz!_{!iz_jggq2Ea)BQ0SAH&d#D(~ZzD&5 z0{*TMIN)^RTW8kGY_U|v01VSkLr1j9{#e!5u_$IVmGa{=G=oq-*sGu)WD5Gh zewc|DBF`t;HX-&}+)=#7Tk9=GNT4O`huC?PnUg`urDhuTLyRiSaYCQ*SM{p16nOP7 z)+`!WQAo26W3WTf#A<<&{pf!oKE|??}^p8X} z@^NB^U~NJjRvFaUb#f%^8p@|ir*%_m)iDyPRmr$K&0mp-oJj8gytu;wdGp)(Tex+F zazLH$OLE``MRFiG|CjWE!I)E|njH!^`V{lk*7c_4KvWK>J;S?Di%C$c;}Z!C;teGO zf;GMC8XbhI3uq=V%Q;0?6Nf7dTdo%_(aO^VizE9$r>B)av@3Z)AfXhDXmA}-616IV z94iTFZLmMr80r;67?MhSr#4M#uoe+ACpsTVD}`yl^S6mJLOGz$_ttV?>yly{1KOw@ zpm70lLh-nuBU*ClN458$=cm0$8*8LMt#~L}DeVR#8PFTObq+w#+1?}v`bXqISF#6) z8VBEsM*gR8i*AoxXl628cYLNFjxtQLVhBMy3MwV})k@@zJMP#RwX|vT2<3q4>q!nw zE~@fPTmF{M{o5qq~Dt`v&=y_sdix_7Wy!lhUz2mkPjJShy z2iA9mG3_!^tBgq#fL?;N{e1yV3_A*Iu-m9w9?Sa(djpUfHxFxJPsFnLoTEH6fg1Ga zj(Hl&-SGCNc_5Sn>Q*0;1DT>K-}+kihC!@(ASwsoM{nq$PYccwgRu`SOYPL5K8~1U z!zkSLV{dyHZ79t{IiPO!A~`@iJi=!~bKKhEYaR&XKzLqp3erHt=#BnGy& z8eTWN+agZu#yT1!lmqJiu{h*F-oM$8#saQ?yC3=6O!WEgJa*(w)SJ^tCt{B>Z{|{B%O7fjEe5{F>is z#ID|dNulZU;RgAAANoDzp}t?u-^p?xd>;gVG{?*NeMh7scA``{jMy#XTK`N`oSugG z2Z}!UUgy1Sf9Sl8o3FpXZsA99;L+3aQCqsh+!Jx4mfSp zIWNQg0=C2Dh+hZc_M!7HPJ@eo@wogwpQ&~Kf$v|);h8UN+**yBlRr!ik2S`yx&blp z825>4{B8X_6v_d0TPNuQG&dMNQK_*l`nUc%{HC=M$^p{)sSVSr9S0|g(CB=G+lj-D z!&srWco(*NVI09BebDkpkWcPOZoF4vG$GQkH)8&-7sX(^+oAZD7x@gO?oXkZwd-%v zETk}w!#nRNG^pGvc>ss1orVmLDlZ;{C<~$R)O!{y93c)0D-}Y{ZSE^iC(Q%C9ALW+ z-FZuLfOdL?PkHKX8(%aJ1acr87tqNg(R)m)a0@O;27Qmow!!l)u?XdWx~-e!z_Fna zfUjkmZ7XEk$8cTs703b7wXucYa81D2xB*JK&#tAZqlN_tX;aU!C^U^OW8$vmd!S&7CkpAN@@qK|l>>BliIxMKir3n1zMESPI8w`jw#E&i9FPXqf3SUE=OX#s)*#;4 z@aVoEkOQH8fb8)i))5x^aNZCh=^dc6OZt|ky9QJ*zXNVDQ4G_(XW!U0wdwNHJP^u( z=geo=?5gdAn~vYwX3j0;Kwu}deK|n7zuG02fvz96`nHMBhC`+0Kqv?L$rEriJdF!_ zX?@^ZY9FAJ{$obMnB=c3rn`UCdBsI6A-)6psHgnuVzrtehg(k!tQ{a7f>szb!Zt`b z#;}ICO3)2MRq`;{AQI3-$!5?Mat0HQ^ya^BjyAeE%Ghw}v^i6Rav*Iy>5mJ}E9@`G z6<7Z@**Y{21o{BAL#!h*h!fQQ0=3`Y6FV7ktRY*Aa{=gIF;f_j3gsS>65+mM3vvKu zp%mP4T(k#)Zd49V8wmFy2c;(z5B;*Y3NvP*N3FjhaFh{ZV-bnP#q(&$QTqC&Ou(P4> zH~eN)-MUgo<-p6qZeD}kd_Lsj)%Nx7{;6S^&*fUL(%Ro1 zC9X22;ZDZgsu)Nz^B){-h#DAQe8T+I7 z!~-~mvfwHB1Blh{Xe*U?)ccq7Y$}kOBxm!Weh#ZUR6Rw{Z%{I`g3n=~ds(t_CE}Bf zhjc&F7$-;IHkaRnjwz$T&7qJHVhna(F2Std>?7XU=5gKTn~x6I5~#z zc&U7;1Ae9<$XVkjytJ@H|YB>QIG?~2}&EJv#I*aoy0B})X_N*?X3Gn##Xo2DSs>yuB1Urm*m4i zXunx*$)S8WR17N88)IUjx!QWh8-Zd$zPcNG^?k=sj(Xi=Ue4oO;Lo#JeV;{>@CR@%ov;zfk|YHHq8w zB05-f6ddSXhXVRMpwG#GA}Or1H$)$di`8AbB(>D37u9k$VhVXETf1_|n$Nb2)9F_< zCdD_LnPou(s}T(*Ts|9%PV-e~>Au3LSCeayeT_n^$R6NR$&gxQezXuXX=EWS*7ZdD3zGj`ARU^^|I(+D1m#5UJtXNm zQf{7mO|%>c<$!_XRP!;KH+-w8!rL|ve{<+G4@Bhv>4d}yO)=7df_u%{7wK+MeJwa? zj98|8+dmg41n+Nu-}9VD#W@K}jJHpYpJcyhACioVHOYR?uJO-em*eE#v+b3!Ul_xj zhj3omcT$7BW98$CKU-29Z1!~0a;f!e`%CYC$(Nkx?MGsRZ9_QL-O10zk>>y0PzI&! zwcd~7GmIg|?r}Sf`3>BXK>uKET(1WLtfY-D&=0#py5*togw$y+y@C_bW)@CFYjT_X z(^cO{RY=Tzkn6E=qnpzCn3pwB z3RN%Zb+6<1o42T~Gly?4=0Sad2j~t9*o-Zs!d}dF!gGr31A)zu&Z(m~q$>`EKl%i@ zZH>R02b2_7(%^u?oDtRa3P_Qh4DOX7X_0B=E}JMvP`^M@Gs`k2oJ;Bsn_;vs2_!7- zFw3)q4N40oY_Lm>_M!>ghJ%x5UD~lGS+U@8o?xqjVsO`?s zY=^RF1Wh;VsM^;&fZF%vz;?#TrdmsOmI%(P&w)$-rCZ`0N&T)$MXSQ1@w0fZz@F6L zyV|K5mc19gS7VJs@SXbcXnMMVkIt+Kz8{1US5(W`&^q1F_?xF*HfJfm9Kg8XS%E=; zO*W!F;t8iO+OW12Z_b+7a%F{bK&>q&PRQa+=+Fw{mDL24s4s6F3fGDTwiRAk&Ut5y z-sl@>-Yqz(*(z~dq~lWIWGxFC=T zdR(wE=-n3ch{^%?B_v;3p4BGNH`Q13Vd=m(^blOl3EDqr;MFLf zXdVdVz@J4hUvMekX`9E6lN^W^%pH(!5S0Ugd>}ax$b>xn(I-mlw(8eB&|D4>CzP5m zC|*ett!L$jFhTF}^~MOT%Y?puD<`d^9cCWuamRFY1QE^{p2RWRv6Kiy)eW~QG}I<= zqCWE50U8L_{yMd8yf6;A!2beSf_X=hCD@?qTPrq}4$`*TLnsHH5y76*AC{E^138Y? z2ijI0ep6_g%K_qqQu@I9SPM(f5*CjV@@QqDm7uuyjm#s$xdr95_Mt`9tc8N46YMYKK;l><0s)*wzO zB?medbn2ptE%{PjD+thpd|hB`K;M2m%L@t} z8Cg9v*q0($JrwEl)xFmwAL?lxXBi)ltcscgXVgGh5NASD^?(Ij*uvf z1KrDQa7Z<9X{&tRI$XXS0Q_{9&B?f_NZnU;P{BTcPlc!TytdA-TWig2JZeYf0Jn%V zwT?WsjdZ(6+oPQzq?eOReKXyBg9YA2&&GplbLOOZz?TD9KR|MzFUx_~3gi78>Nv0& zl0FdV2}9uz^aRoeg7HEg{vn2vA&u|{wuL76qtE87<8_s#d7z~ncm!i+ODIXO2r{9P zWx^}u8jIj&CGDcEg2gzTTJZ!6H!@lkdl0DfdcA zxrj8Ctj@z#p=>kc@XBMP?_4MZ%w zI7^^IIArAxEEAllMP`;h>8M)9+*cDkv*YdHWO@Yj}8U+@Ia z8PV)vUsRN`6@QbyV6Zp=YlWm}LT*97aBndSY;j#$^8k1NQcy_)az*+rwE8^z{tjtl z3Dp;Q_B=O&8mbTZEu}PX^52PW1nP zm3Dq1EmO#l_!sm)e{a$Ma2n7$?0=S^tV+pv#1z!4A~S&0BUMU;x1t=ybmU**3S(8F zZ1s)YO8HySZG(Fs;_u)o-4kg!03PuBf_ifxh(-2+vx~+B14QrGVyxb-7RO^;(BS(| z4G7D>o4U{5l=*8O01p)U0jy)(Xtx2lDNj)SLf>KPBVsI%Gy02e0 zg;LqTk3-AXbonovlJnY9-k^~$2cB^Hfnp>F7D5jE9{M}A{R;R;q0c1$?(m-kflB^^ z;2-FR9}EBXe)uKulRimtinYJCgmn}ag7w?|4?G}IW!zfG73sNtdlLqZWju`AV{qoNc_9|=1YJXj;Lx@A@*;d=iwu{;N)>zmVkbR&Z+lqIBy*FVVh&Ght z+7F%e&2?*vr+J`dUqF`Nz*gKoGHX=9a;-hPG`6Sypwyc4t&OHz8@wC8efe?#O?qvDF3}OuDdQo4XR!OL}UmcAs_@Vq0wZD*-Ng7|4 zrA2raP4nF+d3+Dgx(L^esPkvA_l(b+>4sQz+Ki3$y>UuxxMuV?E~Oo$S8z(r zX(+oU6Rsbn9B96ZESmM~kFE{XasV|RnJ-A-9Jy+7UQt!IEuP)@&}be24>TJao{E;@ zNNT-me0C0eoe)O5Jz|&-eb-aA`lC7QM0_R7jWEt3SJEu7hz~@Yb6{(rRJ5*BBnFae zQC^g~O7=!xd6Cbkv>Yc*IrKN_3=3hLiI{4>nBb|Bhx;joaU$MH7g0G0gW-sWp(I^| zr{4GE6So0~{~%exAB3&zcjI$iTg$On4zy=E(8WKePLB)PT5W9_cv{MVozTL_vLe#E za1YAuD%~+MLfDR5q8c0QLbYk`KsA6-WptS`+Y zJi3lFScBAraI!tVfHqYPfAncfoS}K3r5vF9?35fh9ljB)8JtC~aXPsPcCqV=LO49Z zZtC2~n7uQ;R!WY8nBm${T34jPD<$pvM9lTBe)w4W@uI|u}D3AJ7|!yCP?WOsba<6D@k2^)htmCi=1 z+ZE^cFU3C5rLgj0?TDdfCAuQTAgmHW4A?Ct*08)>0}zcX~|sX6Pe7=zmI2%&e00?bqdf_*;lP??;}WBkoG%s&b$2=e`WN&&O?|^X*ThouPm8 z0oBZStvf3Cem2z$Fvo$nz}c}ehIg$;Zz)iF@disM)E(Ig@jMqB0Q*S-?fq9`nKKDFD*M1P z$2D@0oI^QIAH*S<(4UeNp0CS+Z6TeO1K)xLdVnMR}zXE%~^Gb4QY^nKP{5|=eNpQSlz7v1nT$=ieTxKs# z{Sod6!0>kb9r?ETcKmH~4*a9tWq!M+vUwGU_yzj-FT7g!LzMkNGWbXSXlZSMFE=j? zp&WR@sq@dK+ZAWiy<{#1bL67Lfq(PDws}h1HnG|e+68jJ*NxMi(EHjVPc1i1mI7tZ z??f|-=&vZ0=MId{k&w=ar{)mo8=dg7HSI>#JP^u(equ3NdK`Uy@o0WSIBIK*t9c-h z17Yi@c>ockww%Wq(s^j>dCUQl1lW~aXE$;o2MpMi;ft%b-%)geMj@e7{tucqI^4)J zOG0C?r8^7ju z1Uzvb%_-PA8@-WN6t<;wN{^_+J=e9ck180;!$bGgT`%rVAI}iQ0Z|7ZA}3OcWAp>5 z!jkH7Ly$-xjkILf8HWS>Xg*mu2l{Cfk+fMKLPa@c4fAA+)^31H zcMpv)#yGX$mm2)m*<#<~m2-!$!FQ{}s9pxqR?hJ69X0I&m-PIwjM`C!O)JvQ7F z6mNTZ7Wx86m_yiJMAk>*2kbgTYliI>E?PNUtnz{j9S|<*gwPUVyGmFo@lLw|vycUq zGR;Z8sY_p%CSD2St>*WjQnuj+V(hN?2q;(-R1kNm2iu29MeoS(s z9+FMX@N^O>e0M}0bWf6S|MTi#EscJyLuedf?GdN1_`*v?zS7xZeUUQdORc?;8`vHg zjY#hZYxHqqLHszp?<8v3GTj~iwpU{tAEHnWs5xSqGrEZ9i%BQUfeO)Qt$^opwHi#4Gp5*X8qxMEN$_5d?6#eag$LYrD_v0iBx)fwVbPsZPPHC{~ILw<` zy_!E#EuPks*SNHg7}|v#3b$JJ!62g#O09)NpwKe_WexO)=v^mj1*wPfY4@@t2Sc-} z!)++orEJm+Rt?`eO?#DbA|Z0EFlluw%_h_8R0@Uegq5r3!$%TF#jNvv+d7woa$u=g zBA>!ouNvnZEpeWTy=-bZ&|2%+cD_aBKnne%;)FXBztncJtSP9G7`>A;$dgT(PW?vDi}57)vwI@@wnM?T1k0A83TnrnJ65(G2{|Cd zUz`In!TkklPFdNDUNvhmrca2W(Dt4YPsA4E==Y)G6r4Gbh)s%-|0|KOjzS#r!-+gw z2ETQes(B!^#0-+(A0zaSE@%SpjzJLi2|xiN1`Mb#6z52&azo-vjcj58xYN5N4N( zU5!VK#YkTb8Rr80n8-3BXSip%`OE@&hTD`G;+_rv7xFW?+I~fjw?C8pGQXE^*&iiN zhvfq^{2?_x`6cRY2jfQjZ1+<8QrX}8$aK8b=6lH-&HkB*wwcL#H^wI-|E%}Vrx9-JpBFtJ)i5>$KAZ&ic?nF?ULWA0<0nPB$Sj`qC6Q|Bki06F{qqJN4Ut54- z%hDk13m!KI#jF^uBf3V=sYGeZ6ge@=m-E3rch{L7?z|h0I)1@9!SJ9(=i%?iu7UVN z@D@H>7Ps{)UGo5V0J1=cpQ7#F=lsUJ&v{e6i`jxE`%QCfa z|0UnSJi?oh{Y~D?cY&Map}D;-;J+=Bw2~S>B$ewn&f-(H}-wbzvTz^ zby%|}#4tApneo1TAIT^CpYkhlG*;_<2`Nc=za@>#n<$}Q=3nw(_%$-`*p&7x_dWTs ze9wMQzG}aU96y$74wCXRSl&ID$_nYMYcfI2Pnbr|XHvraa`=_Ct5VYHW6%Rq{wnH0 zFl&LK5uR{#dlmOiq!-hQ=NwL(%V~ zr`q%-tUoMUCZ;b3(3R3e(E_#;{(}8^RB=vKdBNQ>FmBpXH4jAPz(J4$iW8!8p!|G6 zfi>VMFB38tJJ7sBJL`Ut!D7?UUtU%e&m+)HWYKwqA46JH%M<*0ggWS5G>>pT>q>P+ z^9VRK1JWd%M;HnT)lf8#&;Xl+k~oGj2EGd1Y!u8SkPL&4hIxda!57XWH25jD748Y; zfMo>t9q&wPR^i#St#HfdzOB^c*QaDu4%~*8M4W(?14a5kfgPH8%D4TzvDU`F_*#$t zHA`0V)euXpovmXNsZ6c+r5B|9iZ*`w)qp-4)*a4r9F}*7b)~#HvC{1rGsNEZWq{jPK*CQ92uXG zc>#Kh5EJ4Hy#v#inrEfr;+NJN$`*n_tJ6;}9n+Uqvoc#5lK+Q{bFIlqkeF(dbSxNv8cjd~b9I01C?S zZ}_Fs9fQ`wAr<^t?3R!3>z4=6ZF17jLBf!X=V%)5_g<~ybwp2ogisR^P^NXw(@W8cDcFB z`}*y5Q>FC#0`S6e=Tx!8q_t!{#LJGd2j&nS>^U05eq|dR3V-z3RM2gXd^Hb*eSt`; z^8@o}(E{fWk+lgn9tcZnpL?;pFMTt*kv$6Gm<8ak!cixabQGjXU{8YoZ?1V`Qo5bo zrsOWL<{56SdxJmAr1+;>vV!?;Sz>-VhdqCrqsCj7v8Bpw3CWfU3FW}iatL>6)mTqA zK+wKpnhz6n11_fhlz&@k`%!9Z9th+BW?RvyNdi!t7O_q|Pdfs(ZwoV}u~<*+A|#Ds zJM`V^yOv^#|-7bGL!DJCR=eH=L?aJ zt8GNMUwY@; z=i71dYwLwHSPuF`T;wr>Rem(p+_p>>Ax9p|xKR=o9{3#0=Y@A;e~-(? z8W38aj#a3*A4iV#M;|exRy3Hkv=T*7A4pJM$ZmT`I?Ug}@5tfg_oW%?j)#Okn@uS4OawtO+un z)|;JzioO^ArOpXrF|22c;eXZa6MF_}my7ekMS0LwiWLYC&MsT!$B|f*P+|Pmc-1^5 z)*j>fYB60bwN5s2U>5kX;^!~o1&r&f#XY>YY%%X!Tm=6RV=$JcREvKgZ6D-spsWMH zSK;$@TjJJMV_z4~^69_>)PXa9-@~r5i8i5~DXxgYUPPw^(GHMGBTJDt;Gx{91!Xz! z1bnKj?%3bjdNyl#wHhK7EHBacDjb<}pT*-7_JchjRq&Kd70sd8|AlwCxno+bYAgQI z{0;M5$lK*raLvCpembD-i9OuLIM~6TgH(FX z7{&VsuZI*HB>xHNG~R85C6D|u#HlsHFB2kPYTZ$=`;bI?4wNUYjZ!2~qqwWssK6XQ zaR${0)iG>RELC5JPjSI=#1+ntD5DV;p0Tj;sM`uFx!kE(-3MI|VgD?oc%R^by=o$t z`Z2W17*;{lim~P>gjC2i_>Pbyt?;jwI1|+vB^um`Vl-p!7;$4F-bdlRH{{4f#Hx@U zXg2_>DMs;I2Yxg81o{ezb&B{VVRaLNZ1~l3H)yXy{>*5HavI#Z?#|*Kcdoe8zRR7Y z;Kd&4(PADfUdm>6h(UL8%@cRocVi{UXt%+gCq|p|kZzK^+nFavBXxsG`Am{`I&)SCfE7^KNup!=ZBVHbQ=d{$%~J}Za2~NQG!H{4 zwZSU+WB8_WuksU6Xe#!qnt(beVH$iw{vXm*$&vUjVSyX#PGbsAM;YTdk1_Z%9$zMi zvHS(M5>U-hUGV#R-~dWV^;ZiDBw#O{4-OndMw;g=|(Zq-<74}nutqrMgm4hJ_xH}%(pmp_j7Z6-lkY}#`P|>lB|3Y zcvBi7{{Y_|OshxuPR&a8?*r_jbi=M{(yWiewVz%J0^XdJ0~$U(L0UQ=o3jZ$fs~>As!fv z#U1i?(I2ZU8~LoTIXG8oT8d(h1=KjY5x)Du8A3eF?nCVUmffEG^%~ryN_kP7X+~e< z*ft(?%Y}z%mFIP3GaPk(+jY=<^01=|G2Ncdt6#4b)8M-n@7KZNGsB@6lM1$YF|)oy9o?CjKf!2mE#rS*&kz@JG_g!C$}h z;Pim>ap{xscT)Nk{GNjM^xT5 zEybxE^X)owelnM-k=Mx)aWiwRtc}mcnz&wqO263XfpIyljvFPZj9PaYa<9Ysx+?Fl zSPR@A?*HUc?;lB8vrONgPu0oSU}>w97kVEh*T@{)&*6TW{I6ULe;vnq12lO;u1x+1 ze>v>R+(R^AJ||{Wi;uBpjqBeaJ?mbaI&iPOAaSpGU6MH9(ZqZ?)~QGSi{vBnG3*k5 zSpF7uz0{ilJ7A5wFaf>FeJu7+{2}upw6VqbwixT5exH9>${Q&6=na7g|L2hpY zzIWd@5cw$GZXX=AcKS zct1d$-R4dfH$j#Ug1(W4tm_NtD&@uO{)FB0kgiVL0{MTddmG|ZNURe^{3em%lVK?p z&TIAcfl4_HrQhx}xnAKbcJ(37FywQ)bF>=S=>K zfM-rlMT^=I5OxwX0Hx|FZv+#wZ#M~*+kgDnl!n5O0zRsbmPU^2Ea-FRGSm||ep4h4?`srcEXo6GLy=z- zyZ4|6xJg`Z-{dwqq}$AZe};RrQ;+_-xo#GRZg!KvH^gd+#0YgX3q8vu^j$IZx0L>U zzYGH>`zX2<_jq}H9|UTY`kqD)O6jRi!CTHGKd!_|FoW}bnL`xL7WyipdltJBV#ZDG zji?z#bpv_>t%hxMZb?qSyx^@#s%xcXOhWz40oPT?JyG9tT*U!>k(Zkm@08f-aR<=zgA6J&pUp%UAf{bet6Px$(a zPUdOx`q&k)B(3#!iS@LzpcK*FND%zwL!HQ3j$>Z~ic;!;8gqcrXz`{U*3{9)ZHYlB zL&fhSh+=|kHKcaRcnh_gjt#bY#wN%C=3{6H%AbJ0Z{iwf4?|`ibADi4BUI@R*+Y-;v+941~snH84IOaNW7#vYmWfO3-AC?+|NnT@8*>}E|s$gOBu zBJ-H}5cXl2i6nftniZKk^D%R}xeS!fX0EWoubIzfdvFrhgXn;|YL8qgE#+EaJ!Z}p z_%o@Ngab&;ylG^vaGr4XfPa#i%6x7Qu-j*-?kxTU=_wZztJx_(o6}=w5`R-=Q#|#T z1iHKSbc1G1Sl?akw4W{e~iZ zjPW=aMeRB2Ehi#G?Kzqp>k~T}a=#HFfz7Z9eq~GaZH#OiR5nIsiITAU3Gp;B6Y^OB z|1-XyY>add!T|IMAf$N8A=|Emy%A?DJ2|*gWZ_brlMzRqtA#}Vvo6|e9)LUmMd6~? z{INm)B(w&q_pD=~52Zac{V`ie-#c(z%qSvOXLfgG7aA@?y0Tlv?%uv@7+Fr4MxI%e zqDt$*Uk_#XaCX1TZjRl)?BcvD)})p_h*!0yHD z{)FA(>|Vz1FWJ44T_LXK-)q>dVYi;$YuO#m?l^YGvpb318`z!3?oI4Av3m=`YuEu21W>hi&g;_A$Wyf*)koGBQY5~_=HwtK0~8# z4u3y>lB4o8I2^0ttb<~Pm}*T;ARKW(D9%3*m)cN_=WSFOa1kRRnoyqF7re?l(t1gL z?2WZYr<6_gE1vmT%Ps%|f9wIRQ2F+SzC{qRkU*=XTIuDxdm9Z{N7ow#V2+6ArAK3j zb8qe=)cY8Ir*quY`&fQY6yC@2d#dn$J>JoW0fPOZGt$hHh4I+_(Ejr9g*<$)(Hk)3 zVF?UQMq7$e-BM_VnE8PHYpFj=J{o#x;2#t5kB#`pvHvxb&Q4Kt>(|5Ll>=3Cz&Z!g z63*9v@9#@yU9=^5ZF4ey9r3Ve!f!&<8xNZnMv1>SIva~PEa}Hd!G<>vaa^$ouuOw5 zjn#bf>>J?Ah~J9Y`1QmMxT%KHuRyK+4s@{$3a>!;^Bn#T)&V}kaodR|u?S&Vxe8%R z8IJbidO_t^$~#Ru@)Q9OWCE2)fxj2jP#7bJylkI)woS|Qu3 zP?JJ;;Lr?oeQ=Gj(4~|fzZu3tNo7lD?K#+uYC#jK-)c$cSzs?Xza1#aCYa_iEpfsj zNK+}R**%cmr?J;9hjXVM5HC6NQR0qR|3tkB#p{b0*cpfMm1#_ICgST(xXUE?dOW9Z z!kq|HoVobcS-dRfI@6sg_5{4`jJJvWHXd)gV80;czALPs3-Fs2^*)5d8A7L!>(4mX z;nIc`At$<^UZ=V@GcHv&ZV3>(q4wtB%kKES3BP-w7Kq|P-p-WhDrSpE{C5lS_r&`w zcQ)s+x9uRLn^?j*REeAX`kN&kV;P5a2Om(bd-*h@o>I}`J|A163#1p^Ct)MbiM_=H zF$W{#`B*)g6Z?qqcv~QU1OL7ey8nSwLjoclq#mcH5&C|SI4`v*;c3u4C-yI3XvFBf zT1*u;GloWtFg!ra0-sDpu03P>8jdm1sf`h>4=f6|jk!);jA(xl!a=Xt-nKMmxsNf= zO_tjsryj^|*guGI5XXSr#~e=%Yl$a_@qoyYN5oPXms6@E5O$kQ z)cr1IWB-h=Rq}f=;)Nr{ZCK+;W%d#?069Sc8G#ayWJ>iGW0^N9dZQ_vhy6`xwS<0PLF7f-Pevz7K#MNy(@iVm4$1g&m80DXc;^#S+F$F~g+L;}CPK zTm*W>3-r3nr>`zV{FT(cpD96u1>OYSBu@;-(d%>EK!?{{v zJ^YcwCy9(fGV(;s5KX}xQVu%qQgJta1FOy#v3eq3&+M@Ao1SU_YDqe@Fq2 zADU^rpU(1};+_GhpF#d4-yd|<{ZLd3J%Kq%F>+Pg1;4=$NeLI42i%9yN9M$tu*e3S z*^g5}U%}V;1v$`HbVt0i#C>8uIO#4(Ji>FK`wFNqk^O|l+2Ve&fWH%l>Z^)LxSfNU zr`r*_05dBOV*c%Jv?h`6VTTZ3!(}TdA7Ti4AWk4V6vS7vbof46rIH2}7ExztfjV!S z^ggU05B~7QoE@7Ud zHghRBmHPSNk=9pb5iS>?rWSHp)BUp0d!v+Uc?3$yiJxL^$RbH;f5y1)W)Ux57Rjd% z=L6YhOhv4p1ESv}eO4aF;k_{TnZvy!N`?qI7`aXtzvCQ#>4!Sn!C-1{`A91+R=lNPB+f64)?r_jPTfT0=1Axi$!h@cYl85UYL zoKZtIqUh6B>8N=Ck`OJ1r{Wi20~jbkxquBG^&ftM;%?Rq=nQu{!3$x=SjbBoTH`eIj8*buqXKd@jSYS1+hL?jHiB`jJtl)1LcWBQIqY7f_b7~2 ztN{~@V|moxp2lA`>62CR2&_&c>&1BV<&+zE7@WM1K_i}y&@x9OYtL=-QH+H+STgC3)zH1m+P8sS3l&S}RJ(S(U+5IlNId=Q9do;TP*d563 ziR|L6Gvsh8yF=JLlil;M?p<*oe$eM4l5z{$!k2}URl(kPFvpEZSHnlR1sZ{geooCc zM6ur~q7lqujbJv`4+c5`%{tJWgC%m2IfFW5x{0&h4m zaAG|JrMv=jAhaei2pwaS#JQ zL3C^wtP>anYN@pWrWh*zF4cY?wSFKNGv*N|nCqvpVXy|EiNkZ;GVg^y=sOm}AB-!P z!yk+*SHK^AHU;KEo|}fQLGD|_FLXk17+i4PV)j#Cpz4}Vi^h5$?hEKUZo5L9D4BAL)kr?-S4uSW4AB6N3(mP*snDSj}cZ3ptIPg z(U$)|2T$Pv-?i)=5Xf-|HtG)usz(DCVL{ITJwBdHU1+wOqNb+{6}!xd~P=F)FGWe z2?^xz_%h}96^)odqF%rivtc_PgLRZN`h>;~i}HATGRmxydm+ypNM#!|ew!?*xt+?nq3)SFGzQ#`V>D8Tbu}dRY=?59xoM&qaFz8x{n3*F`Y0cKZ)_bM3QBEM^HfJO7q54OHJS_1qsFP zjQEOPm+%;PXJ9iHJGdsJe35=dW)No=^tB4YT?=_-Ij<1M1ubyw!Z89IZSJ*q!`N@K z#99Sl_zGhah97&jv=aT?LGs~;msJq@X| z@)E9zJ@IV{8br(};0=1y_w6u_r&(yqmuOqXwg|!*-CDsGLA57Q7FrTQZ${1zQrbw_ zopas+7JLN>anN4ySE6KsdvE_cmERI>Dtn(o+52)_g6eNfjr~vubb`L3Mt~2Mx$)An>OG4ub`;)-)=9gr=V|l@*C-i^maGbmztX;`rpU(bsM|4 zvwJ6Y@)89qB~~uOy_-X!+oD8BXM{Y;{v)vtfFv%3oXGAIGQ%MhvloZ_NmgJ)o^g)1 z>apq>cj7q5$iZ@j+2md6s&RSF&(VfN`aAyGN>AB#AlXtB33bA!#bB_6T2uPGcoOYH z%@-^egVA>?`#_){4h8R=h;{lDJ{5-!^KRQ8ijsOpjSzR-RtvJcSr4*VUvXORLsW8m(}?r!XMWw(mm zy?xg(vYay12`N<%{(308hqL=#b}=HxSKL4d_h@zpuse|56WKkP-BZ~;M;u0i3=e*o zXNznC)X%Z*2bZK6|5vLjDGfq>cc{oZS*OV$cPQ4<2LD#DZ)lRw)nq3Gi2}`k~jI_yHU}Y$_Doo>Xy5-xYZMM#nrdVf?H2|mCv!y)& zOD*-%NpU;(e`Licjmc%Ga2vUyECP3!fDAiq=sg3iwr%R;Ndue)=(5mI<3?bgC#(0J9C{?D zx`FkgN=T)4fHQ$rS4UyoO}ic_=YPPZIioW#@AN&mWG7eF=U(WtvOrM?o`FV;n zszs`kInFMe!zpZ6KrJwos=X;Fy8z0$Ooy=?XE%&U2$S7?Oh}8_0~%5*!!D5dxh=Z6*R#>m7hX9`^(CnsQZ6UR_eIxl);CrQPnNMfVM``^OV;7Fs8GJJZ6C?F8nibp6 zhn?uVKdgFG1J!;F(1_#!zXnKSjZ*(4DJF(lD-Cfz;joq&7s`UdDJJbOv*F*X1;q0Qv4`s0NfAI9Xh8E@n9}*9i8M<(mCNv4z8e=55} z*c~Qz2_=ad5rpzYK;eV7TWy|VLA2m2{$q4YJEWd**Lt+uiewJ<0kOQn*p>Gum6kX= z`VxosCzX>pb+BWSb;=aC@SKl@c^=YvDgU|b_JBrHpCC=vhORcnyaDq`L5v4E#t_(C z>l01bk58ij#KBxx7-xwe$Kp7o{W!i}O=T>PltF7{5`Gy<^QIVoD=LFlpwPY~Dudbx z$Wwp@XG$Ud5YdiHBK;`kYn-k>R!L(tFwMN#iNZ&>W>KmnQq8h!SRcw1;)S`l_v6_d zuQo>WjGnK5Q4VyT6!%APQR{H~B2ThWE8V;u+&$ctFy(3v?CEFRj zbBza!$0?Y zLuv&)fSyZ9gZ}I%ePE)w!opPKI>!a&Mggk#hxIS)wZr}z<)PH56jf8A9m*&L(_+As6czZY zxFU$(sZ9J89RJ=H@w=3Xk8F|uAnwnI>#|JKl;{e7ORh+X?g$UKTJd`T(E(*j7|JEg zE|j47Iwg7|ew35>B4nOfgN(@smKy%Ove&UMh-sfJ|)HHBK z_xK-y!?X57;Ote_d+9aaaq;T-|Gcx3!!zZ$;zRF2=Urd6q{I)AXQ^7a2;r(0aMLzU zVhHNsrwA|RBEkVVfch;eW~QY#HJ+>vnMUp!hzhgPzIjk_5jH zrKQWesRyi}7V1^`+~P=z1mV{qyc7oG5#AC5j$9IhS@={QgFo0A$d*R?Y|QYiwhoI2 zHSqo9C9QB#XXkb|fb%xwuWCTakRbd{gcA=0ID`^1CGNwwQaI$x)WYMf;_x$jAaIy! zKaTYZE3HND8ti~?m#u-7-t^SuOu$7) zA^%bs3_y5L1Ej+=`R(8&gqMOp&wXszBM=X)U~LUO!g}B^kIwo-OE?_0pl~=oc~vVo zP%Q*7T~r1pr6&;d#d^X|%fv@C)Inp5_*a&RpX2z|a8>?UpGNg1;;KgD$=O8_9;Hfstc1QeZ$_{Cf?)wv<{xp!*nm(UYdnIC7?c+2gD(7aY#%OG1W zjCY1~yeN4=D}C(b#GC?G+*H7z82(uW_!ENksQ~^vOTte)V2hz;aCo={4pANcqWDbc z39s1iV*K}!^{TnX8yMdo^5vxD6=gNx554W3cMHAgBV}-SJc0x9Mu5Yzk~r9Wre0FC ztbV4 zcq4+ra_~lg!MkNJ$RfNH2LDBPF&9n2S)2g|Y83Uly%Pq;N`F`Ie=qrSQC_-7Yv4=d zPduR7f|BG}pW|Ub_gg}O?`jBez@e%oosRJ4H4wG~;v(XKHQqaRCmy$4C4*WRnEX{M zE#Z;W;6h6{8)cNLg<%LUB~LFxc*|bOMoTG;!<7gxg+r8!I>qngUUnK}k>a8QlVe)Z z6QV8o|B!!a3`XEfsebl4gqOkqY+JGxrk22f+Hw>FGv0yQ!CdqX3WF1qSG0mbv<7ZM z{-tVQRsnv(FqB1iHiMsdz+zd79-#~l54Vbgl1E0oKW3m-S---#MaiRMlGm)GEjRfx z=kaDRp+PDMg&qo@;4jt_mX(YTK0$nSKUQ)4Kb4Em;go++Le_`qMSLkm)mIT7a7AV(&Z6BWpd%|k# z56Ly&$??5`!%vgv@_4+|X#7L(UZFGzEphTDw2=-&NL`kd%tAFKNY2R;=k9Tn2-~A{d0V04q}&4DuY_vIb5#|{0O!u{1Iom1pF%h26@L->`$yJX zNJph7oSwW4aF!c`e3IxI3*g_gB>cn!uBa;Dpw7e&aM-5|4kRC2&ZxPfM++QobS@O1 zdrG!E9v=n?-z|O_%SW0MRC>ZW$xF(PK|b_$cP?}S91baogDZL#;E&pLTyaDh_=z8) z@C)Bg=8AqTFu1{)5f3m>7LkwbW1;^-(<$f)KS`cfmamI3IJyi5{R) zs3-<2*v{vQ!DZlA?J^O612u4b{4mzvzraYA+9mM-&HnsUl;@;qEu2;chanLhsQm<7 zbXFN0hL*wMycRf2ame1Pa8Py7CH@+ZWakz}f~~>7(t9%1(VzQq#rY-RCw;&b7e+7$ ztvaswaTyF2m%-rY>w&@V>}zpRp3*jzbi6Wob}KdTsB@=3hvM7L{CankjJ>s{roGEvxWA;R%#*I4yKgB;Km3Bp;gDl-r?qb6k(ub zeklxYT@MUo{3>wKYU>QNgYU!%{@UbeWv#Qt?O;wb_=yJsPP(IjL$O4?t0WHS7t6GS z`_}`9%J}8nBQzBB1k5{>LPwAJ)t&r3hW74>rc=Vp0jDC z^=fMo`q-buJAo_CZPlLOCFb~gg3tvVP}AlxY$ zgQz+v*Agnq;Gnoj)xj5bM{WuKh2DcXO>oh#lc$#*M}@kY(g)g?!JtC~gT;k4Xc!BW z!C-Njwwx`20cko>3@YP&)vPRPKw*GBR+QJ&qFl6F8Tj{zz#m$4Fb*idPdoqt2e<=H zD%X~)OW;6j6rwHRC-H-T!$;P!=%v(Xc1ZHFvRt&%i|Ppn7I08KQP!9IJ<8zFvw%Ys zel?OU)e^vCEm{KAK|8EGp_Pn^kM@e^py_;MJq+8L!r)iQvs$TzXglar27`VP45D1r zzYGSd$7v}|u}ZEC1|j@C;;->ub>H`Lt<1M%B0>(C5%vcR2!bHED8h7v6k&gWZ3S&QM4BQg87};b#z2$ET06UI>&mL>>M;e90g?{#i>WXb z1jzy5-~XI@-+NhA-LqjQ2GOXh%=hkk?pgk4xk>W1|Nf+}z^@G#l4kPzt zNj}*Awf3in-R=jP`u$P+bHjf>`9%2qbHjhq{tHRb(KG*Y_!p9$)(_|U{j1r8l+tN5e*= z(@~rMPy3ID-#o1Ihct%$ki(7~N-w|3NYfzrV)lekQ#8x#526JKN8O zkxtwHxO{%v-fwvOpB?VEckOqrXrtpJ(&)4jzCxcF{`uq+<#_(J*0VOAM?AC3O2=^) z4UJl}e{GmIdvz@_@^3i-#_*TAl9-`>y9d(D?SSvY(-SjV+Go zprrKA4u7Wo3H$sJr$OuKt^NTNy4Bpa-dJ;bUgdY~#sAv=(_w!?E`<~e6qTGJ_-?HC7Gu%t^cG6XwqK)?4GoP>->)m!qGdf}Z`5!Tx z|FEf*(7U_IM(Y!H@_vioKiz!4z56L>;D-hm(ZIhN{vl(2KYZW|(d&059|$X=leQU7 z+Gp)7KWiuLHAeO~23lo}{%bVwrJ#Y7J$l>!^{fSU_@{?I&7M~OeQ59;`&<3@kMf`H zA$pR9bV|6``44^P``hObC&V@ zJNz43&^I5>Kao{!U;Nw8_NxC~?p^MEi2o(YJDV>s+WG(faQN4fpRA3ZPVB#DTHo6J z2d$r2`0>`e7LuerI2`_X>+74BhyQ){?(9b!f5gac4tvcXgRkko<>6;(!<(_S?fs+t zf9ZcHNty*C{cT@BdrlCzD@Ho*lkFd6@jw#yELL@^>2la`@}R7aA`lr^DYKescJU;j`@4 z@3RX@^6d-X+I=Ux@RQBo>i$;qqum#J&$iz`_=nA}8~#%I^UW8!f41>#^HaSSvR_IX zjh|}tn?KoHOSY3M$#L=>$j%^=-_2DLWnfPVa@@ zGhKc9L00XXl3z?e+We{fC;A`lew3bnr}sUB|1*nE{Ch0opW%sTc;Z3!?BLn8rn!c<3zo*0ICwTVnG(VEQtNA}Qf26Os zf0*~))q3ynZ)fiwyfFM*&A-*0bf0N{WA~ZXw=~DYSLvZQ_#VD(5B|qwz5A=Z4O@|C zlV=C-NdAZHN1N~NzPtIu{dW%5n;#fVX#bgoU+q0J*x=pM^j)pLnXEUTS$KDQC;i6O z-^jkF@vhc)47&X9uP1-4`K#G?HvW3@UMTZ@-S;*BJ4XAy=6~CKhX4Po&G*v(Z)X2T zf0q4m{_hm8<^OKcEBeKc7C%;efA$Ve#0Lwl&ie-+>3ukz^j=86q4~_F3{Oo!&WoG5v<_dsr*Y^1sf$iB)=c_Z`Ep8>EBxHpasj()YGLG5kpOk@g1%f6)D= z=HE=dW6&P_0WB;v-#fgM>gPiDbntt;r(`*d%**7%5 zq5IA4b;kE!wKUENE&d?8rPh9z-~Y?zzhb4osn7XuHIn}#`#nBOk{6PHnta5xkFRU| zn~e|kpX>j_^q(|Qjri}|x_`ON~Knm+=KjfZ#BAF$mUvJ!u#KV;XRY44|hk*6E#abei}9^U(* z)(Pd=I@~07u5FPJAP|ImpL6vz2{T=SBzTYV%*?glYi~!(@VoqdMz2pf4ytPrQT9;saR^A6zk1{ z;-o#z_dBCv);LO!d#Ak@{rhw&d8OED&hqJSKO1)@*|>czTV1%8-Cm&G%)M#eVHH`m;<$IzyUGe|wNHyt??|8Zj`?oX+RBfcqhdXYtFvAl zS|3;QQFoj!50+{7v^YBVnLV#@q}$1Ic0Gw>Tcw}vY@A&0EqmYDaVxfk{Gzq(Vx`vF z%Ha9@Qg_uyY^|+o1@h7GsJGK!Nte^L{7UmMj+jyH7YDY^_t_&~`_pD^-P=d`@qixF zNje(DQOz{c-g1AGj)qf4yv|BI%C|buO=Bs&k^G{5_Ew8a*{HReEtmWF9re4K zt+q8Uo(*(sE8fyd+=do!i@x%u{&qe_=x-Ir3tQ~>I9(auNXM-4%5YV0XREw%TO(ND zEt}EVx9jh&>fPRojd-m-;(Wa^>uo{R&!>xVRf}1B0<~|dpL{#t>`i=S^>?w|I_7Uy z(pFA0+Rk_3d```8gl0ye&qzCJW4GAsjac=SS|9p8N#eP)UV6XKk8fQ~SCVnQ)YvRG zo8$a|*`10Gy0iS4^IB0Te86V29cPnH;0^KnF{f*%!)fYi6!(iQ-kmj$nf-p)`@89K za=$of@eKT6Uwx#zkw0X6$bI%I8|TaLrhD2cPTo;*U^}vHeUFTOx6;#Wg*Io!q`Q@m z2PgSnb1OaK6hCTkvbzWRy%=-CHiqyL;}@E9!l&NCN^eb+v9OgM>&fOc-|4|=Sb_T; zX5HC@D%bLHe_tbk^K9pn*7e$YI`wb$uJu;?ON{IQ&NMD23zPJ5a+FUO?y24U9^7g( zIe_Yq`JLJH4)cS-Rr8+JZuvCr)3Wy0R< z_Q(0FoulkvIL@ctaXuSfWi1c$t=2T3D-m8Fr8IgQ9#d-)>KPukhZg?YG|B$nOmgeVqM$R)Co@ zw*BEF=UIVPIsX|n%kz_bpSEX>SJ=IkYzcX%)gL1bdAq^7cJ|Ukn?-HS1_$Y*WV@L5 z&aHds#b)VTr6DPGcGkSdsvULqii7S}G4AZ=o1IxPZBFv_oLM%f#c@eTS{d8>-img? z`kv({P4>RA0sS20+g*)Xe!(qVk(SH^ zS^P>TQ14(1IZ^IuzSnr5XBqi2BY^4#2b!^FLSI+lG0y*|?I;`8(+5b?N&7S#*`9^- zto>!ioIY0XwA|m5CbMl+vpqvYnoG_Zv~f?{nyJ(8K0C55`W_x39ranl|MQ8r+}K6V zOA}c_17YpLKHTeXGjfeSoM)%_c`j6l3)LyjX3Gj41-@|r*Lmu>*WMRPO*mC!H{E5= zc|JdM9U&jp#5O!}Yc zfPo*tSDEq5S~n>PFFs8_o`^oAKSl`_Io1_=T!Pv+IQP31A3nEItG2p+7N_aHIBt8Z z*fWk4+AU6cyM7w7S>vkOE%rLQ`EmbH{HR!mCv6Om)E7Il0ndaRQ0>T2{?j}K?_L3gJPfG&^kp2(t3MKoWuR$akiC0C4(uwPuP=5ahM>5 z;JJH^V`;o-q+9u6XTR9wR2&T8zwl`IH0!E8nn|O{Zse!g$I)~q81IJLvX?8hzu~_O|PFMP_fmCa`rhQ9Kdn@US+4<)-`>&uQnEr?iA7x9L zZI9;~FBVs5Z#ll}?bc3?wHtWzB;RSUH>@Iih3;@UT@B+v6S{bY(7|*8yM)tW`f7Kx zn0C!ZLE{xas>T}IIzOjX=9JWCAD*m@GHMw*YjDCj8NV%Fns39Qkcc(8KF&A$oB1YE zaNo|S?{B3825N8ZqW5W}uOh$UTJ!RJu041`r1JT(70$I?r}X`u=Ougj0d%n4--7zx zn%eKoofsr{67={jG{({JBtL9p3BmWbO*T)VZk>R+x5YEi?{xmq_q%RYp|KQ4oCxE= z-QD~^CuOk1+8n^cMTueNrUB3MkHh{(`XD(;4_!;*M9%U@SXH*Ssnfu*^hHTY<8HDu zjCTdAY?f{yN6zaSGfwG7K5f98p!%8S)!9Txt#i(>0?vn9(g$m^MTgro4aMX-5+$6e zQt}1fkG?h@ipxkoizoPVvUbr(u=5^N@?}9%aguLjDom^Gl8Obf*2abMIP~*@#UnYII9<0Z!OavDKPse~rThYdJ_ozN2lk6}x&C^kw0| zP801&wqvty6*Ea7!EY{7n)b&cKY^2gslN_T7w2{Jy8!tL_4 z+KA>X-n#9WA1$cm`*4*J+6lV)K%DfjIN&55_pv^(m}c1&?uHJ(AnxiTaf-ItrTggd z%b9S4V{ADgS05810uB`^{ENdJmRQegaIuYi7kleLXA@0xJwNGgvzC$~vm9M0-|g?S zW@tprcFMVR-hMIvI8Qqhw5A8y-R{k7%`iazZm#>^wnzH@*#EZuW6$$F|GS};)8AYE zcQ^dq+V#H;JK88f3i*2_TeH8n@>%z8?}k=WQn__EyVbZM^rjdko7rJu2oSmmeV`F7 z3rWgHtzFOuJ-Oy@cBfcxo5+Z;0=9>SUV>Qcv!_Q$ze8jPD^jrIP$d!v4R<$CA#!*y z>pkk<%{6vr%)(6?xTK`k@);Vcy&kL)V zA$zvbj>cdNr~JOrn*@|)uQ%)OGJB0*@9O8+?H$(_Wf$b;D=MCA&k@A{xx>wSR>_tY}-jep4kJ^l-FYD$IyI(6{?G@{tZQ~8W`U+Ol zc0TRwinrI^lg6v}ro{|9q&5!Pz>0+X}tfXtUjhp^f<_+C=#VqlCNV2U&fOHki5AnbX6G8C&bJ53oQu z)8M}#UmBU6F8a{3=$Y)t2s zWY?prFz2sG;7dx-tm<-9*z#-HXFDiRxGQB^|NUwPP_06*aSLR;Og2> zY(@||{04^D1RAWrH}3t4&bqDOUbY4%e2adU(6~9{*dFMHLe#(t;;DNPkD2iKSFyd| zgvNF5(<9o=5Dm$w*QxM$2u1_uE9zzKr2Vo7+ekltZ#_a6+>quJTHXYe-pDt*_#%um zX-}93- z8Cc7lLhU(p8{5$-%r6bu|L$%I{pa_vU=1xdOjNcZGtoJO?~9ts-t7!-w;pAq-njR1 zQ8MS}s2S}5A)fVTf7yDT;yaivjM6*F$L(*r+|I5UJsF=uV7(=P2`W6(H8q% z?F%#L{j&ONZwPlbo)dWy@}BY5Dc*Ld*mqqZyV9f&Y=_4Q$Q=4Y$(>E+%!&tzkNj>1cBr*0mik-0nMP=5JFJ4A?cRYtHy*w( zzMSvpj-E^gAl6t(;K%+syn9#F3~Ci9G8>!46=+btDSTC;IYyh07r;%Sw@FhpXELXx z8{=&7eB%k(s_}iZ+Dw9jsDiTX!)Zku({5cpNy;Y4p1$!lM~~XC@44}F%}~-vXPwcV zk!Z$ET3RhMAE=ZW1^rIX`+9?LIG*e}2X-Jb8rd+#e%vx1;`C!PL0U56bk}CG4`;=f zIouE6rs`{|=a4$A`y;Sec&m9P;yYp0l2Lj+*z%n7z}=+7n0PNld zs(VoLCDUw-UZ8=x=T?2}LJu44WZbQ*>I2)~wec`xIH2%su%=uVUYt+DzSL)Q*giCB zfs@qFZ$zx%cyU(0z&h<08|uk*2yB5(amf_aI`{)kUSI`-m~-Zj`}@5uKi}vI$N9lf zoK&j^m&22SgyS=01^$O)M*4_nm=`m2U1EZ-XwuiIiu|O`zv{d}R{JR1HO<{PC_XAb z1xMJQ+Iv4ao7pysw|a2-iJX_lQausnXF2L9;WX+J&5q~NsC8qwsaakq(@lnydLyW( zv?F23a~2KQo}IWS$aHpaf8jX2=jXkc$^x0!C#2tk>KuVxm8-`J?-x7md*YOMw$`ZgX zK&OU!(NFRnWbQaUF}`_!8wW zh6~~cG&y0jj@(YGXBLrY@Iv0;wu^CB+C3;yHM9UQr*gkJnIt;uw zd7(?gnU4E=tfsh`W>cO5xSYvuKfCz~o_Rlore6l0A*)B8ALH-CZS67CeuC95-4m_5 zvgXX^r5%=bwgDdyp6VxoPvkk}j0^dYUcW0I8_-UTN>=Cdpp(dBW@IP=pr4&x z=Zm>4z6Kj9RIH!A-z|C3q(`bB=>%|E=d8UGsF@f9Y(_L}kJiX96>I1!w;01U*M8x~ zvSY3W$;Zl@MR%2*bq;FyL%<_s2k)R6>azq?LXjonN;>0`J}y;c?Of&eNXZs^Bki)- zM2|cw(G9J_F(cNq@!ZyX+YdHl{WIBp;;MS*GzZO9Uz#zv!6;wke4_jD-JAxKU&Gx(yF$En$`C*V1J8Y zzwI2mEoeHt=qTb)^3HtJ_J7y>$oLMmYPLF@jHtnzPtaB=O4YDR!Vb%og0Ao}HXd7h zNPCNKi8jR5#uZjNNqnvGC~@v(8O1YFYsF=-*?t#{q<4jt3Ge6IrDQWb-LZkNl7UA* zWi-eFVuz6L=@^?K$P4U;+E`qg3dy->RqA75E>X_7CGH50TSShD>Vzi7kF5vJCKMmm zOgw!9+X^HJ=>fh=6h-98+l@^Sj2WNpaSCdqGkpR&ve9V;Bpuh04W~vwc;qFC=<*C@N&oJ(QVFW_x*fpYjh)r?SOTLGO{GT)8PK4MU6+6Ca@?qGD z*ZdcfRh(DTtk_lK1LChulUj2T3`m=b!ay!g0(Xkk6!%o8!;o-4)2tNUveH`83Wd0o zYGtC#uG0gUo-C|g|LnXMC7h(lZOdH)2Z-F(KYQY={RBB(f`Oj)uMu08B*CXI)T0AN zW0p3F`ewXwDmu(s!hYGdNl3I4wva8K28hvrU2aklGBj?j?yyJ?X9N`<7 zBsawGjo+M<5>(oXVGZ~ZwtLmykD?}P^mEj?rd5t;8Yh+*mei&AcIjV2D)mNgH_-R7 z$3H-C(txKmO*p(~z6|*dEfT@(duf)6x6sdsSe56-xQNSEaWYA;16FK`x9vF7xg~x} zJiVl3eh+8WEz(IuTz$3Y>jV+|hw2tg!Ek#ng z-oTm8_lQA9^N{X{H+325W#47H&E@RIg0vQ*F_RnVZN;YMH?x(7e|EF6Vo@5;RlX(= zF8P->K^0>Zq-);W?Dqz|r?hNCY+10TjMgfTeDqDN1?|iuFOE7VAY`U}g%c#1V}9p1 zDh72VHiL)y?QpI1L8gg8tA!zgqyoYV%G{k+@Dj#+5DV)4VV7v^h2b?@}C^ z)2ns{X-LGB;=Vk_(`~K_bG2wo>qSpLW+gOZFg$cY)4_;0yQ)y!qI!+SWE+~+ z$!HaCfuBsCkXi)&BjoP!P_tLenR%!b`-$&&nlBl$sR+kIysC>u^{nvRN9?m?w8hw< zrx7b;cKUtcL&b4leg?IBKwG~0$8Fc$G)fQ*MryKLUNq>GIOG=bUxQ7du|c-1Ri8;$ zXzk*;MSrpVSF9McW|)>oRWIi*_a5|xphP?hS#SoC(g-8R&mhKxELEnH6jxmmkW<(Esve2@R znYM-IqFLl$xJVO=A%O;#kkw<568^_|8!wEBhT~syF4yF2&dD3hDFHX=tVg^M+CX2# z_qNkmE-v?8v=iewCumQ@o#1KFZY=6K#h9?~vN=Zi6?{Jj3*hP|RMEMHi{6tgNvn!5w^SeGzEK(NvZPBduW=`G_T;%}t%5io4^MSkQ z4bUh>(}S>vXg5}+T;u+8mT3CLiT(I|_44Fcvh%SZhIZi3n>x|~0)5a6qby7-4j`6C5mk<;{f;hRiwoD7iAc%g13-b73t=&hq;+$e*V~Jol`}t5VND0Lh1QBv+Qq2NG8(J&~H7je`96Su&>hbZxWGnBfHkTm0er7jko0< z`&{as8Bs9#mHtcOhDh8`W}DdSpKQN`7JZmsZoiabqd^D9>BPq3}CYrJQX^)duEZHKO#UUP3QKWK>6}9A_ zS>7-n5_~_#?@J`S%D649D7*oU{SXrxbCb!ww#dBlJ&+g2oPxC@D=a%Sd$W3G5sy5x zs{0kn@znT#;0e43#=W1L#G8xaB@;Vf%HkDpwz+3K!gdN$I&odf2K%G z#_6y0ZaG#gtj+kbIGn9%@Wt5Ld22+mZGkf1CGur5xSXyJCq1(5kr}Rqmiu3}tnUV0I}mPsXxoP77%%1q3DKLj(BRXzcK-nERdDS1vi#ogvhoVxwu za&k}6+_kYpx>KKZ4YK1mp#hSOOb5HU|7c>G|KoPFV-WuV!y-;EfEc%Xi@d6>@jRz=@o{1%O^rr zGwk=10ttl;Fe9Q)(JS{rLw50IuXo1oI}DUHk(Fa!k7Ih2XTrXZ_$*vdXxa(#SKgH| zUb6|_Y2m&xy2_+qK*s8bwe3$h6?oRb_yU!e=ijyGQsWV_8a1W-QlOuj9V?Bz`rcOz zO*(!?L_4SVWmaGxjA)OU9)Xnoa(Xve$**^=r8n6ZWNQDpx1GQ7<&s75v~heb$K+`_ zCQr;W64l{t5I?UZWr<#J&ML`9u5gfc#+hoIu99LpkJ1M`3%@$2mAnYgVoT~-&^S)( zIu`n^V!W^^K2lm*$ohzRJ6dXTEASBc3+-=^)RK!Q3Hkbx5JDPlw3prf$6ID{*14hc zRgdrB_sUL0BGn}h-!1BI`TDVL#K+BB5^9IUbI5nKvpe$oAdEcXQ_@S!*6aP;7d#K@ zEz>~X<+x)=rj0Oj!*pv}&YRnJENYNa$%Ar*qa`GX7c8opT>rf6T9Rm#zHieD_bpPZ zVZy5KA}Wvg?c2z5dm4B8Vt)5D8aR&;?IPtiET89wp&3wna@RHC_B5LnE7=WOA=wst z#3e3DkIcY@*;i>-)DR#eVlz3+CktCaHXA;atr&7DpAV-ITn|l15}Mp5m-WQD;-g$O zDOqanUfoNB{2iUFMXdN)dYC(AF~bQWyn>zCqz_83-!!~&3xUmS7LmbyyG5Iw$oTgufrp6u|^(HQ4fqCX1RErEgLTfIUI`*&#{+A3SSL<$}J}4{vaE-3!qZ z7U5bxr?o$TFVdqTpwRhhc?Uwm!fJ~~3=^jA!7JbeC$c}XQFl4J8LCCGhtLjL{ob}> zPVmqKL`GTM)`v2)>TUA7vVJTIE5;Wo$3Srrt|i~cE+D4N@{AOPxP%W!SxjZdjrKL& zRCOJq?c{GqLS?s-o4p%`R)8JT`k1N+P!=mfJ~3+#l^V5%Ua+vqVNf2CXhkcEK2NzLy*NzWMxEmkgl~I zz0N5T54)8ucW!FeLe88jvCOEl@tCtomW6vf%$Ayq)8kFc`LFU)mr6tk#O_ z`W|gjoo}~CEfb@@XGCPmH;9(5N(*mxh2ndg#1u`QvWud5^Do=Zi3ZN?oe;^8XJ&Dx zc5bHR@2x0CP+5yk*-xZCpGlQ-W~(do!f1nB6};+!ayfA`Q7-vK;Kqu8L|1@g5}$n4 zGwsNr;S86wW7LmEWKq~KCfS!5} zOHLhW&a!_vjamWAy=-k_OS$9;XPT42IS+n4)utKcx5N1+J{v@mm_BfYWB+M#M6+|O z#`rujo6OSQna}UhSqzfTBHTISbKG+$pPDu7**C}zXl5!JLHm8+^p{%3Ot~6bDf+}a zqncQparnXpa_4~9w8x_1)|B~)9;i2D5?dKVc;|?q*v}s}Ps!8Ko|?3iPe?rf%$xe& zsEAX5bq|{HP(8_mnF~uKcZ}MGUY$?RqDh6=Qtg*;L4Fo^gcMI^@x$TslFMpOnThG_ zhq+MvG8Q@g7`n#CJYGqwdQk*#J+fLSC&UfcB72cz2c^#tT?L==v0+5}^D$TY2CA|; zg8Y7Lz9jQZgb|$b=GEeoswXH`+oP3Vac?^JH!?=6 zF!$NK^n9Ev0%O;04EAs}T~)+%-{M1fZ#x@xR#K`8vhqR0N?uCWGU*7?u=TkrK^z)A z%F|eu%n?_J6VVgvnp9T^P{UuxsX)6r8v)LqAEQ^|cQPE& z#!pnL2=(Qx)cNG`*T4wHK&e>OhoR?YSGkGT&QU}A^$O8S^GNo z7AHWSYxS+b?xF6 zG@cXp-wFRM$UPO6gOy$}Ot zo;61~4QCwn9%FS)13Ig{R9>^KV#qPT5rbo2YM+$Pe%s!nKkE!l8ZBm;qze1%hMc<%P;18OGNIZ{#cg_V~z(~VPZ5)Qs zDw@M+WD{9Wl|OJIxSyf3(gPHG!YWqT{Lrq(h2%pw@mOc~F`#o24?_$|cGaTVh>G(T zWn~g0_y`n=JW{Jyd%$dj|8AhimwJD|e7D74OR97#C0+o{6RQYaUvfC)GJ9U3+i3Dp zK%sCd_cuF_FiA)}1M$W1jnaQTx3Z!^%d{!!&tr+fs^@IifUcBuZ1SK&VayV9-*L1a zgPvy`FLIDzwOIAsItL3e0BU_MA7$vTlQHd$U(Z&F5(#~x$*^9^Zo#bKH{<*hswQ9X>^Q#5bv3;#iW^n>DX}l(3~#GdjcXXS5Y` z%IvDqm3VQ)48o-t#)7>+TQA4gV5pjp)`M#Hhcf}D>dI~yZr zk|booI2{DsC|FhXm5Vxq$8VF#iCj5bFDCem$&jQf0xLVGVIe6W;hO}1GU;hC2ek@r z;sL?`Z#*DmSYf#zQ0b3~lVOG)^?d`q<^(NlA8)(rW>IlomLImSXf;7XjftrO!ITXR zex@3r(LSh2l9HE4O+tEvDxuilP&`^H8psAc`TCxdDM+^&T9zWFPF$Ctk54_j0~U{J zxkXj(SkN(c-A5dG*TtMWe~blJ<0mz`@O-G~J(vCVx-@`(cds|SSW8B0;XAXG!N_Gf zcg7%|W?Oi~1scgoQ#xB5xzSL5>T;u`T*(S?SF6;ChO4^79+3~qYB7e7;a8D*(IJFQ@-)NkA@_^dp`3Un-v&LpH~N!UAT z!%hNN1JQrJLh$H|BmV7sX=UgHp6!djNuHnjyX2agE*M+HhO-JeflH79=N4t)(?qM< zNX|&gu--KuKqRcqLOO)_acFNF>uV2A<#?p|mrU=tP?Ay+7dk(VnE$)oOV#G#h3`vpQ~)D7A+dF&@fv1S!L)S?{kgwMs%gv45064l<#Bg%?y zn8$-GJJBh0A>7yO4~se1P8do*T-Df2A{us09m5^@G$qpv+dk!cA}Hb$tkF@vI`_ok zd?I4dnS&RxC-e7pzy|D!YXR)PK%0x z(>!$o=BqP_6NdUs)D7JC6JWj_@;n>1=V4z**+Db@ZFOR@gV+1M6`%LnA3A*n&-G!x z66lRl8m-0IFdpQ(jo#~x5epa)IO?FxKO1L;H!rk{-O>`OH~*ZsDSEwTx6K^b*hH7L znp9dZ8;R_-6TH*0n&B~Ct8lh-QtbC5IF3G>4LGHh4-ia<%6t8t;-1L@=(4H{RkpA9 z%8?uT6*8smy)jaA*X@sscOueJ+{?2+wWD^T!wTbnwzveh!oA|3=qmVSrxb@mf>3=!}L|;8IkJvJ_9uc#I&gnec;u&1Wa7jk}JGl0S$ri<{lZi>T)*kT$+6lM= zF%5Itk9ZW(G`1Vy78;wy50Fh%=lb{!Rq;BfchgkY;oTc>s(1$+b%()q_o%|Iv+p^Z z+VlC0=jCkG_c!SOk%!=2_dL(iYg(<8W$8gLfr}!2xzVq-k`XJc+bOlIP{)U2Cg>Ua z!)T^nLFx(5u{v-<-#2_IXdxHoh*B%o_yPJkA5*RRquZnE3u!H#(6Lli;;$x=F7t%Mb^?#^Dv@UW z9vhP8b#h;4wV+u%CZLzjE#_uIq?^|BDSHI|PTi1rV~b`tG){#5t((6}+Coo=`)^(t zR%gp9z7y$G?b$+DCA&wfTy^4$CLYa$p19v%)${I&w^a~7P{kfqi_;G3wkCVVbldv8 z3~{}1jNk*h`7pcIx$3tlDN_anU6qwp`z74Urjf9JwQ8KYr^M>auE%}FQqdg;IuYi( zxA$Lv51z0CQ0VLIKsY5j%U++-^Ob~ms0x$S$hHiia0`)6Gaj^R4t`q9cWg6>RCVSg z*j{?ID{>G*KCeyGD`oe zPtE#@nvv;*oH?sS1ENSB8qU?&?u>e4_Z?4&R@{Hf_Z^do11_O6FQ4Ng_X|vg{o2nh z)vXF#Z96~guM!zorz5}91wc<*kp<$~^epRbrav)oy0-W9*Q48y)`IGB0 zhUJ(!h0fOZLkWd_B*BOqoOPg?tCA67Aju_lOS#f{I}`}sN`VZZE7WbH@L5t)Up zcPSym>X=?AG(&AE(^sn zx3xDfLapQXqCS)7^~yLJd4`8rx*pO`wGGWn?RVTd#ewU3)fMg6vEBZu8=($}^O9v` z85Pw{u_5{{+|rh>80~obTS#cooa~n^3hzGNUfVVH(eF*;7GFtZ;@Qwo!5}xt%JW;m zs|erPUEJUjx}RG*Vf>U4>Qj&|-D&P;%4x%CK{E)F$l^S^FSj)2krRQDp0ErV=Py#iaMGVU<#WzW=9mkwVYq=PN;csm`?GLQzL;`MWaJ$>S4S|z)wS_98?%@ zfcC7ma2GkJRdL%_{H`NOH=C_vukM9*s=aNvpW5KI$icPZbguJEm0LF<-co}oX*@DSZH&;qB-HqTWg$06#X~P)TUMFC{d; zpOet>{YpYx8^pIGp*6Nj;tH!g^BMX8a#(MuCSWOt^;EXsTs{9;YhI>nba(?RnJ!LM z_G*<`&sIm$_kMo84n1el&!*2#XH(Lab8Qlo{yer+RbRqbH;Br`nrnodvH3V;ENU|A zoRd>AHdJs&=UBE%gH;==!lQ%_z&FWrN@4>HYE4!f;^6#kH=Nih5P_<9=7uxC=p3LmQpuwV`iEOoFZJL*i zRU_ujs`blGQB-ZVYE~QlHN}x@Z7pbAt{vU%+@jV9&o8X98uQ~q8>429>x66BO8b`e zy1Z~h+EA_6m!SjqG>tlBJeV}OnXPu!L)j~yZs};+KiYD{jC%!cD(9fq)_u`bIxcQ_ zT|0|caa?fGR!jP)+mhx%arr^!#awMGXvn3rPL6!Ts?e(6bqY?Yp?YL(X)I+lMn!kt zC*FOR9Uej9cCz#)y=4`W6;DvT<(ORjjB~ZZxqM39!D<9ZB6M$y5s<$~NSrh*ow|qx znSLf@OZ21&O=!|`4)Zf{;KW^E%2Sn*uFkw>5qrXiJDE@u|%3-78rioLs`irK_* z>Kr=4GE;m%tLpcl&9KC&k{WbH)9FOr%^i&rL{^y<*Wtukq08xM|8A*&Qejs+!bxxp zz$>sQo|woU*A>x84}?!e>&JCQy~AzXRr}R_$Lt8J1VZ9_Z6l8@C}QCiVt`dICfo|& z^*f~*MYeC$9%v=3TJ1y>yH*QS-Y&OXy!JUZoT_yjVs>sd9fOEkll2j3K^ay=uZB!& zKRuux)rxps3Xdyc)v5L~&h}MBnmG{T$V~vC{lu=al;rFx>WE_I=I zw4R*9gQkrzoUy>EEC*qoJWkzH%xvUMMF%hM75B2VL+!V|JL>A^&@j6|y~b%vyEU_@m^UGa6V~DzIGK4cKVp( z2d)nUeO)<5_DsHR64kh2Nf-BQ1xktzv?JX>Rh;UQYdzg5k&@b2ttL5tyWSuf7Pz1I zQ_wM@RsNKE$EFj$x%-ZxKJGQQHLTSksY@oU8rG4;CF?sRelt=0_w72Ga=s5^pNyegZEbjnx*6Urn)n?CzFk!q^`_qf9dTpOp{Q0GFDTrpNo8p^`dyWv zqmF3WPSny==f-GZpXxEX=bAi3uj~VTD8@xK)NfSZaT=n1p?0a6Y6zfyk1?(C8JQmC zBdVcPHY&3&RIjLRGn_&vr^*E@F+cr1Z&&aRczn%Xuv$lJbM5Gvvo=NSYZNfSRqj+& zr4KT2U6Pq6-cY#w3R;_W%o(&#JEk#Di0k1Lh$m;GCihjChNF5i zQRCH9>pV5mZJ*(}mF%W*HS&ZzQ6JZH8}{5v(3)>}wQlaX))|aFlHt#6i2I_yo7pYX z6?G4`Y>W8Js%85kcX$R{#E{O_?1oD_uPAI%nz16rYISPE?^Ix@^u9S=8*LIf zZyA<;*C;x|RkGyQi*?tKgWT3ER+U+s6N5+o;gnns?ypr99kix>c==;00zZybzTHc1 z)N5X{poavHIuf_k!0{jK+POdEj7xjj02>%HrfsYrbXBrKbtCaX>i(yYx2AD)r{I*b zajBK#IHcr2sFmf}If~}xUs?1cH3&#nm8v9w~}@6rqHPgx|5RnMe?k}Qik-CI1C zQPl9>YMo72EN=m2UsZFGDxbt3TZBYt-?U~`Xlq&fP^I4_8*TzfW2J6GRK-cHT_3AH zo02)H6;zaO*hN-N8QtXy%;h_By9@X1GM0s)@0t#xIwbH!crDZu?~fnqPI<*;oZSo0 zeyb=5ZFoU>h3o~Dn2Cx)Ggi)ENque`XqL^OiIjWfdIWT#O00G&TuXLMT71a0aJR_x zw|{S$mDzU5yHqEM=tp$Xz`JU+BdMw#)~t1U=u4T}W+7|d2dp}ENWxm55zyUSZEF{OUd|i?p)+4Q&~(_Zxs1T^Sv-Ph5iAp4jquITXi)cY{a>cm{RE zYCeg`yV2z}V$BA>7MzbB-G*3D<#zg` z3WqA=sA^ehQJl%fkLZ?55zm0sJLU5=z2IpU2&cyI0r?d*yZi8bVt}cxq}w2uIG-jF zg*z-vK~UissMgzB-?Y;#19b z6t<5ZFxIKm-G}IWSZJnael6bQtyq0;>>c9+?7%@ zE}66OytiAGO;=p9r{>~1)M|Fm?jDY{Ww(nJd(Q1eYD19$xf**1sl3px)Au3r6?tuT ziEy1bOPw59#$;EK0am-$NWP;mu3ceAvU0GZZe=TmY2CYL!hgG1!0BRXBSv%e$S~%iTIQ#GHAea zh*JC>t>j!RiLa~2l8C1Vy-R$b8vrWb{aifBd}VfSkn0m08Z>5cV2#63E}s#x2~=^_ z-Go8oZmgq!h8Pr!C#5PRXbW{FOv}CZn`sNkZYg`_RLR#pGIq zie^>ZYq?#fdk(LYS+7d^GpwVj$Lx8UFUOgnl~=Q}7!}nw zBJ%5=kdTsABw_vbcWgwhlumU#+2phd`{IsESubJjZ=1Gp)KLX3JT+9;o#9vB#m^He zT={yt&qg!J@8#z4%E?978s|v(?6Tioah`&0H55gq)w)Ir z=~|hhtKjt-kGQW#O0(M8J=Y~oUbuWPpF&MG%ye%-uz-9#7Evkmmy^Vb!Z)VKh12xo z#7h{B2aiep=@6Uce6>cgWlWb>U9(eQYfRLWYr}P}bxY@%I4_Gywt5qLbvol6^K#|e z<}LI7Epof}$#iWP{7Y_+`WTGMo)%Z?-`7_@^k;r$_i_4rW*sy3&XUP7o3-Hran8^H zBfX+oWJ_KByqPT_PtE@_f3}LH?g7=}CU8X6EHm#~zNvd?#lN58lX+vB*_ZAq(+S-x zhDQV{L6V5Ngsn>-yhg;sIO>CM^gc_~9sw`h0FAEn|mHt;xGZ!Wnw$BS6A zQy&eAs_9m93c<$-xqIM*RA zc`n9@yLabw+qrjYd2&gjTQ`Fgt16jtGy7bOF|$6Z*ZX8|=31P?@p;#5yb#r**}|V< z%m*4Xd(N#YLF@AR2ObS`HXhmPNkncKG5!#DtTC4rIc!%h)(?Gd$Opx*fECqq*Vd6c z=!9@6kKNfaJ}%yCc)wZ=5-r;Fb@U5K6{_*Zd#dhJaeLGXxAQ3Ol!yhZsBST+%DP0S zbBY%gvdX&MF+^gRPG7YN)m#6xDGnNZ(cY#i|Ke@K2krSK8!g=mY3=Z=)qH zN%V~Rgr6C*Ba{z}2%ipFt6?pTFLP?opJBy$RV^IoUem8&3HYUxyOx&z_ISEeM2}ARW*u(6mDN!H9g7w{}$CQs{K$!N1RRfUhDfH zZSD6tX-gbIe80jOVjGI4+#7BNi3>tvQbsvM)u;BEdL=h+PU_X4~$>F(kdFK_6ju{?`uw@Kb&!8=yy9mz^57}~w+Ku%nv?{SpwQo{UedU+56Lu4@ zkL~rn>sFz$u@|e@l-vWY7#><>SZQ@M(}2Yh7aG^Nl*w_Qg6xNH5KmZ1RK=3UcOn8G zKcmK#;ydtPa#M_a256z0S&UiKdmejQVLmoP`nZDbT90pi{DeO1lDyu(Zgx8`>%nm! zt4A9$R(wAsD;{TeD4n2vKs4(*J-VfP#!~?@ zWl@)m%uosF3M8~y_io3%*Xl}|k*RG}Gx7P?P_lVXeD$4qO2bJDmNnM;g;U2c zJkk!`(^qMOSksM6xb{wr?3Z*TS|1vKBb+%`f5N2P8|K?47dAMrMAl;Cjio*HmipL^ zvLF2)XVBZDs-{%|C;N@~64Ma_PWOiTgVJLyMCpm*2`&?&?#WMb+{L9GL9xFL;n~qW z%0~6n*n%FZYYUd8coAWoU_{WS{L`ibgSzR?Ydnv2o_i4=wi*H^g-mmeHlId!Mhe;Y z8gV6s!l;oQt}UB4&aE)V`n^(5ut{t2XV%uduY$JD`YQ4jd(LH6^i}X&unjNvRfI9I zg2WHhycNDz)h*y?kLSG=vhDc%^}H3oOp*LZ$> z=K^mJvDqZBOaJn%2#{JK#?hDV4cWcGiGOH9ISyf`!vK8hsENlfV zz8i_6cpRTiJ!^u9C$M|4dSbaeqxHVLAfn*>UWny=GVZHUq6wAAy3RJJNQnV^e|OB1 zF)xnV4G5{paHw|0tR-wo(7b@u8rJCV;#Co{o?f5pCq&wW`AL3^9mNMfBE#a`(-Et; zvtlh)IQ^Bz_2>ggUyN~{js={->WL%6qfyn2CxWMoWsRT4B4>u+&o&~>!nk8R4aA}A zOk2#kuWUf%=krx0jg3P}FY)KB_BGM|32{w$b&fbSMvI1w)^=0(pAmZmhXflVy1u+U z!$#(Hr$dZhL@#tY9DDb*`+Q*jwf&x7LH3aQ*%T{;AA5&eAT}@d6ZQY3MEQK-t;she zpV0+O!YK=fnj-iKd+u?l;)Ke3Z>hd@h)?enO-mg0G*oreJF2FAA>UT~30~2;h#tj~ zpmnciSDG&cJ`+tp5zOIH%+`0#vi>?~!Go>zk^7aZ z3=6P7&-vKzJW`xcJ^I=9QjrJ~v?sJ@yU&0-gY>!CW%Kt4srOm9Gbr$GwWs|x>xaFv zTfy;)!HsHB5wdEj2|l4-N0f%t%+Y%u>4qUZBT>PVevPAJE_B!TS4bf2x(c&7W#xQB*nUqLISx`Fw8^^@C^p!Da#&>szz4e>Ff3#b0_ z4l{kOyU%#ebjNTHnti5w&cb)){l;IC92Lb6ArG3WJAt%9ciwDr*I05*cg=#mVo`Et z_zZ6<{(sMaf-hjLB5`J_Baz(X#M~f<_jYz|;Wnq_P<8I)r8bOV18K$kZY@`_C-4(h z-uB8|j;NXkr0z!u`)YA!9`hFHHO8G*(k`CT5@QJ7-q*`fLMMD1SxS+*=guB8^Nc$i z-F)r2V$(7%4P(xhh(mS_i#o_4UbULptlU{22AtO3wG;Ffa-lXosvAlVJ|^)ds?!FP z48J{fV!u{{;tMZ&zbt!*8fn0Z6;nvO{@Bj@8;K_bC$2nUI{RczMh#V)2C3 z#gVQk&Ku(i?R$8OSBc`;Gx3Dg`xS<1ZCE^^jZM<;d^{m|vYwldC-mOt>qP0PIUAjt z<gkvb7@qtew3>+uUpB zHg%{QdcQ+0G@}kxI9gH-C~i>Kde*C;d45Q(YC^u0o{)Ql&EYiJ2J zb9tr$N8#^3VF>z{rP%(04eG7n1Hyw{Gs{e$&*NB_Nw@(@-Wypc8gux5!= zv880W&hiIT^;UM=4iy+Y?#N;@&eSbHcmE2iPw)g9xUhCT_eXSgGt~puo#oTgJFa^# z40R{E?$|UO^fT%c9;QU;5vij5LPgIja?gFc)7GnKJKVVr8Z#Q&z4jN{a`qgE<}vpo z8T!(6sY^fR#XZ5}Baf)xu^7DU{R3r?((X&Wmqb^7bBD&3KFrLIh^{j=KjIX906bT< z9kF)R>ew(FOH}`VMDQK z^--T|e_9~@eHAS_>j8D?m7R4Y8xg$W2y|4qk5RkHsJWG3R=P!g+Ioaesj<>uxtit! z*IdXHQikp>D@8=r&*|`zt(z*9#rk9Ja5QldSXQ+YwfNQ z<@@5V)y?p!pdVXe^T~; zrE14kvzRt!R8;f(_>RDr_d=|h-+>+S7pTkd3w@utG;wk!!U||6qXD(Y43#?Iyc4T| zj>f%|peB|LVfPDKWTf3MW37Y{=>)_*;QXu7Dw$W{slHOSH?!U&wXNH}!)?unrlF8e z$UTgr!41QJDSW{M|Q zhLqZ~`&0u3QYAjCc#rcDkV#h2BOaY&t~Vak_<*?EDBErLO=M2VyV(uDUn9`0+C9UW zo0H;!7} zLaW>%f>#ZM)vBU#);-RsI@9anO#7W@aqrweJ;||E|hw^PA9v1y3S|~Y4 zRBn{^%&y2$ZJcTBC-ROb^9tQ;8{g#~6P@0nZcvFmp6#!8r&hS@hLs9*7WS+baSLslCg%NM?U)Y%YuNgQUTv?d&qY5+@B;2* z3G`O`j=1kls&GgmM2b*#OV54@9Bb}u&$o62HJ&}&BUQu<+)X{|nQP`pShwDU36 ze}SEAp5f=0(DE{!kX5j}WAL~s|Hk+L=3`1h4*A?YX4ir*V7^9`=N}WlCTsXD;Dw5I zuVT9o1&9qpwyX@@t1due-0g-&t??%Nh zaT>@0O~(twuU~kVnKE$VyRzw(NmKtj+;Qgbk`v=vv=FjzZx;8l9+3Y;4Wd%B5-k{} zLG+;gq+Mu0qvsrIB>3NJ-fNxvW$p>J+{2K8xq~H(){5k$Hket*R9H5iZqX97{Drus z%kQcRr!LI!%#FjQp^5Ur{)~4;bVPb1cySK;N_97%OF+}jmZf&Rs+?qt$YT3eh-O?n z(_|L2n^vWv{+7K%j89w*t=B%qI@{1&*b&BoJ?uza{QTkY3; zYI*&mbR9$z&6pgLN91y-!lm*yRKZ5IqtV@)k_F&&sw>d6EG*>31i3-oP{SVas#uNb zC+M8`BW~GUx_h3hqP?xhnUyESd_d5XbV#8x=IsZ&uIh?VX9grk`l-=?#kx}|MA#rG zm~I82xisP7j3C_@DYNOyDZ1*pOQKAJK zwb`k(q;U^$s|DM&2)J#H~#4dOyW{{Q^5o^|y z>e9rSgI|J8Nh7m7IpWLhxlMiVzGY#(a$RQw2}XwapR~o1dHQfXaC^eem4r zegS=__B5NTV2AP**~)mVi;W9Uz1Q0J`Uh~sS>puhUS^B;_KXXg4uCJbyv?+>dhmg8 zJD$ab%tfY}kKfleUCA^WJV!(PhUTxwN&y?>&SA4g<$X~8kX6DFEx3PxD2uDoqF5z+ z9!WNKItjPL+G;{GR*#H)L3uK2nQONOKmH7 zRk(%1`|q%-mF!R@DSQQRLr^lSOGr;tW^Fyv`40TF`mWbVUO-PRtMR&ZZ}ILtt5e3V5F6#0#a{1_znlIyt3ZaXVoJBc?zmqNyhhwb zqZ*grRs-4Cwzl>;$W*-#&^4_H7;5a*1GP0o9|xVAN$`% zOZaZ`J^$M*QT}f2`rmF*6SIC7w9C<~U68kpf+~Af$DD|s)^>K+`Z>gwxGTh!{og7! z+Qj>jIkTaY1|~%=Aw0r7q{ZdVK6SOX^KD|Dw?Nm%oqeSJF81kGx@rEWdd!3LgLBbz z#$nw58lsnL-jtF@8%n+5cbY>p@>1c&XzFD6yLhw0ZHkIHKI7eXtf6kJ2abF8T`Va4 zP5VT0jR(}Yqd)UqR`0VSHM_k_T+g&78@JM$h@3ohABrnS7wFw?oDqxXEAnz#ul1+SW(zcYtEQz|wzktG#GfX@b zwqnWKnThRVjLNV)Wy>$H*pvEujKeDQSuQj3N`9ZHKf!k zcq5TsREzWX9kZAaOSWNID4u(KomuVb-T~}E$%ftRCbxANALy)bU!iz~jZ_u(sRr7}a{oiSxo3b++8}xOqAj9Q$dWV`c&F|# zLPHv2ok<_yDZGz7^@uwv`lt>6`9?@fNlhltL2g7<4H^BVJ0 zF#Gy!(VCSlCr>N!DOZ}Bwbe0XrDEocvOd(0KTGC^pCI$(Z=z*SdV7)+iXG8U(O1iJ zR#iV)5ftzkZV*y_y(&23eWQZ0A_JyG)0NREjxrH;SS>@&I5GPfH-hB0=j;r&hRODQ*O;lg&uwz7@Gon`HWSVA5Pu%j zDI3D>GLN5W_N8~tcE^2?N@6ZUnXi| zf;>Jh;DG5pa{aa>i@gpcT1Cu3k(ccWx<2^wt>SiiJ1KSjz`IBJBCBp(3|@WQd<<$y z)UIaldI6uEhzHDZw#CjvW?{CT&${za@1+k5)6%P3>HRJgK6ku0*3Djok8vljw6dB- ze&6mvB?{Eq)GZ#;a5QW4t(%4$y&0y-SS!i{;u+56A~ivDLR>St=)FZOler$vQsKSq znn%0gYj*6{+SliHk|OfH82q|HzoaV1iTQa;92hJC&px!y`=Q!`VvzOTwUCL2@7db) zjD3A>_DZ?>J2m|fS#a_CFX$Gm+WM`ypUW>Zf1c@RaKc?Qq>6{cc0}>IyYPx~z=IVo`C&B;xus>2Z7 zM!f#3*^33%*}`S7i<-Vj?)bELN%vzA6$O8apBHy6{+xQKDRnKa?xFgYUU5v+ibaMO zm;2nyjOR3t%Frh4D@mdl)qYho$gf(Qx%2~Zz=)_Q&ckX7Tm1eaa{ItEoGQ9Dc*ycA z_Fb%7q37o6R)|B2W7ewf1*EHGo^R7f#-&}N6HxlAW8PSPNs+biyZJmaud8$h*Ywj_ z)=#qNdK+QQqYZjq=K)o2F;&yF7xSLAz!l$YZ9((05r=YQBl12}FHrJaRdixDuOTHu zHhtud_2Y{MeKA>L*dKpOVg_z$oYOcd5mTW}*QAxZs&;A|Uz~70r@(Ont2J2ez42XU zUwS9i65dM5|2jo!6xu(_KO~B7S9j6|%z-`bpheXrU>Hql0hfQ91+F&8OSI>${#>ok z)8eJxmGp5MY6@9>fipReu|8cHfn#|OHhafCm8Ic2A$nGZo-~)sv4NFyel}>!VK%Y1 z3diNPg;0@c?ws~KyF%D52y5-sY~(J}k!WFG=+olSeNVL-LQ5QbH)%Wwy;F&XYq@V z?Y=E1;RP?7e#iRSPc&5gMQ@=t9lG+{t4-II&K~J*ksE%j79HcMa+8m#XMNniqVLdM z$J7|Ugx0I-ak|&8)4gtHC6R(mk|9LgOM z-}h4IjbKa@Q2`wJ?s7!(A0s2iQfp(@6?sHi}BYN?bsq4J*MI*|MI3`x%u zlk_oPT}7d1bITQ-OJ=4h*pQYjEcQ?t&T=5Nf1xs5|1LR@j=XcTTfmLx=Acu6c7XnG zHIT*g1O7yX`B;VCI4jn%`%bF+A8HgdUqvsr;xS^e^&oq_VtzYQckV(XZ}NMc-<~)s4du0m3?P;P`PSUc8zzP=?PTiohCB}!QRabG4lVW>Y~Xw(^8-n|%%>K;QT4n_pHTVoNK$$33rKl&g43DX0MecF_T@wmb81XWc9*{DIjGYO3 zB&%T+Ia%YUpb{4drLTSTC0l_~A8=g&#K`K@E{ySt@^Aj$+jzH;e#UzCV9E1!OeDk6 z|KRa1HK3&d`a(Mc7&gckH z9}RIPoEUUifg8wsLbC68mpK9fSi1CgH*14h=nbrkI|^_cC<#~3U2D8!Ci z6r?0xx|Si4V$WqCvLno16i;_Ktr?t&HAd^E57j(y-NbO@Hn}5%8+dP4vzOa9vOA5J zv*p$*`1T$A)^-a-w${2OPYdzUx3in3ap`+I)!EJNDt9I#4UvoZY0M5N{V5<(an0YD z3}=m>F2jB1H7i)N)_z)_CJEy{T^!FGpRY+^+li;gvxGJ!V;EnF@TnS8*l{>vy;f|{ z9@LwyQ?wgR~hk@8>?RxYiS6$=*c0YQ+}4^}GT_+0^n16kTJ~T8|~$ zL_DO&FPk?d_@j7U{>UTrm??B5KSs0xyiZ5S z<2~v6Ozl}k35$svSsYq|*V*rW)e}2aZO?|{yDAGs*uL*St$dYfI;B3q8d8%;_yE3G zJYM*J(Fe}gC3=c30K#WCyk91>Yb$**aJbFkiM(%~M@lr!iPtE5axPN$8rC=-H%3JK zGW!;F1ZnLhwv;bIE8*{2ta1(2!Q<-jZ1x;lJE!VgMR?_$mYA-$n2x1Y*i7ayUHCS) zE*oW*=SdMsA^NGVSNO=V<*ANr2xrhK@OU8gc_;N71j8LP)~@@g&tnbNmi*aK|Io>( zFp2s2)@nR+`i8GSRfSmdi1Dqi5i#TLAzq(2GOL z$X832YN@Z4D_!ae)yyu&k*n_w12juJtn{3|fFIj&T1&xY~;Vj3zB>i8d2M>(>OxnZCh-s`K9%@BEpnwR*y3C(L2v1 z_eATMui^QY{fI{ikGkr9Q>lSl6n8D!ftJFpKEy8uU0c|&X;8cu;(p8Tg2seKbWC3B zmTBVSJluyT?MHpGg1%Q~3ES*eX-lX%aSW;gq1ZHH6!7Tg*Us(EoHhq<(`Ob8rR*7VKsT)0X(u}u4E&Fk{ zgnx2Bwa;`nVtz%@GM&rmc6oQ1_Aklk5KZ5ST__%JKKz1pr zSE!oqIVV3cB5Q_;5=+L}siC59h+U}uVpLR;6TKj!)~3TdYRyQ_XseqfrPiCwYOt zk=pfjt+{@zYC2^Zjvpn`kPb=-g#U4e=%2IZ3=GsJro7{WNZAKg~j& z`%Y(dGdu2rs0)=R9$+-oZJ%z{-fZ4b9zjlluu5q|ySeQF2fo!*xplZ6U1Xu$r zp%LqO&A{^6Z3Z^7x$o2meK$kjR)0V%;j?{8-@X%M2^#G*u4i}JYuRn*icw$4Cgm>P zGCaUc2~u%x zMBS3EvX?Jt7rOFywKKkQQ4<1*nWW2#;38scX#l1{`^$}+-0)1UW9Mb=ZN8Je9FURK z)(y}Pc4C3rH>Sm{W@}+5Zupp;4zLZ`M_R_m8(O}ZEw^v7Ud!M*;400v>}4qNPUmIz zYLu-aW3f1Fj~!LHk=-ow(wC(lTCX>)W{K9gqz^`YgOO6dq{E1N{_ht1cE>9(AOUat zsJpAwJ`w#eyH4;`LA};*gMAKTuF)QCvtm8Q(z;DMEBvm=Uq$Hp`>X9Wr{mUg#@NI! zn2WxH1{f7yEsdNC-fOBm!iuoR@r=_tXIf{f#mX>(6;P>V(5jmq)6wbaMwmIhht_Y0 zb9b|0G?I7DErBfs5Q%Wn02&DR!g_?oldj?eKNn4M;| zOqR6M%I)lykc{?9>UXX4b3QtX@9y|H2f?2|Z5!O!`y?)Il2!EQW0<=W-FNMF7Y?pn zX9cLP5Gd|8`xSl2hkbIkspx$e{5|zJ5|_bm484$)da4hQ_#f&8)$qe8?Mj;&tq_;*x6C)_nfY^k#0&=utS zLCYCuA4iip@4xfACnWpvjtNOm*TrdFD@6}SE9E=8`_k?y3pciagkNsF`2Vx_rd@Gm zNBS@GkOTVMc-8#^{}*@BrR9GkfrJ1}?lSJhtQ4a&kwALk&vJjy6S4O>nSi9St9{G8 z>|WIZGS5DHh#lh-5oj=?N$HqHrdx}G7I?S$dc=Eje8o1El~F2&rG0@vJJ!&k!s#|1 zS)|1tP?nFPY1#ogq$@UuMk8%vi;C>zlc9r+rq{59lpRxINki&UeXnn-ju236{gqJ-qvGRYRR~zkh#PZpQm2ABMb_=ZH)>45xH(F zSDjn>bW>}XIV$q5K34dN$d#shNB2!{uY00uu8wm=o%&DMEyRC`@15YYIA~$hPP)(V zmTRW#9V$3=wn3fg-<{=`otJdXJz0L%d9l>~{FzV-WZ*S_+u=Je>G&?R%oZ^}{J!Do zqWi)&wP)YP>>Oj}FQ};VpOMyjiC2_3|3h zP&=9(-x+T(tv+V^z4h+17M6T~2SoI8214Q*1mCCL<%$seoZ|dN*tgyVKNs^=6%Y6T z8B6o{Z^*^CVP$Ize-S4v=N5O5cyDka=LSJ5_3nkWoD~leEt`}mP9-h}^)}tR1N$AF zIq|r@MGuT!GJ=m)4nbSPEg3_-bdDltfs8rmwEuBl9U3C9q3QnM`;Ql%&JNBgaFo34 zAQ9D)l_44h58hN~z{Zn)A^(7)%8r^gdjz$}3(1`}s@;_`moC6}m*3$TWi-{T6OTZP z_8m4lR`*mrX^E1HYP)}#e#tJY-W|9B5DZl3!Xv_Yy2^^Jss8%hv;JP^MSs2XH|TJy zv(sJge_h$7-tBH@V+Fbd({M|NHgep$U;9Sc!ZUIQVr8wlaGMs;Ekm~Csic>-{|P>a z9%>0N1=VWxRNRkhN^w4UR^~cX&5tTgkvo{97{Mk@TC2``o%>tHL*lVZM#4>uXN^}V zI%YC99u`e{Y?{xK9-7_v_~G|$bIkvB9^8LkDA~T^#1(@ufrAaFgx%Zn-vU=`d@y7kqKW8z*M?>+)XIqFx$;FjhwQx-coo z_-Fl%S!BeLam1bdruS->I-sg3jc?*PnB_11=U~a6X|?&}9yQ8plrQ*(qdsRN}(xWuCp(*-7qk1#-6WO!&SR@3*`X zb++(s$qM*5Bi5X8>vWR;#G$M)*qpwYVSHjbppDqK35K>8?VwbgjLiE|WGeeqouw68fc zvP-|~S>cf51TDiv8{LS!oS+ejAM2a$t^&sDxpk(@qBQ20l@y$Qem1@a>ImYq$>pIU(q5u zg1gP58S9)QG_8Qu)Rmb7 zN%!Zb9LcteuIn))cx>6BcwhMQ^At4uis}@1t1E@G(o?{=gAuxe&mWq2)_>ltFlnju zHP^h_bE#SRu{)O5s=#oc$}85QoQ-8Z(GhVqtdMesk9BST*GF6b^JMYw;Z2P`FjTFP z={4EwkL4MmBT!2gYvn@!s;=A~h#&BC|9k?`me01lAJ_c!s1w*0T& zedarcS@A0@onO911)_&KS)}?Ty?OraDv${ey*uqKwL5+F7ym805Z1h< z9NYMTdp*Pg=j}6-$HH-1?Z5XlEMoC>@lto!)*CLmoA!RBZqc?v+wzwjRzKHdKBYd8 z&ow$pi(i{t)7jT~E|PRfo56{7O3jYT(oz<=XeF$9=^UF?>UuX)BIDh`KY+ElvRX!W z%#9vr$_-`)1N=p&j7XM0y$8uLdz2Qod-rL%z+SpuvG_c`IGtJTX;xy#6Zm21fi4Z} z)^ShPnk8PfO(%+Uf>Tzn{vi{Dj#t9!3z0XPp zgnYaB{d{W_-wB^Su^!?RM)M3}Eae+eyJEmhsgr!~dpme*M|!7nnEG4ln?h&Ffnoqe zceZ_76i2jo2cOhwb}Uo;x$KDK#1v13m9oZN#Ag^ep!N%DFXiiRD8HzHr4pWEXpQueAua*5DH zboLdoL&ccNdvD;@8Qt+tsG6+&;PqLe`NmPQPRRl)WNR;fKzeEQipz(b#m;I;FL(9T z&Cr_)DoT~JgW9V!EBhbPbQ`bOs%}G#W|Yq@9TmUO7|c((Pxfcx!Ks-$X+ilrdJm^~ zuQrXb3!>x9HHs`Db5{I_cuG|%h5Q@!o^ifU)PJV78#&Qj0=gu!<9d1oPUEEaX%63g`0-Tl!TdtBr1#J%j@mIpC|3H|V;M1iFZ{?| zgX9_S98xz^t5~Nm*|Yu&j~P5@wee@`Hc+ENkr29r1%A=pzDCZx;dxaB5ly4z#k`I| z)ym!*zz6&kx}lIJ))Vn)I1`HBjXWuxz@y^Lg72Xs9L~Bq0wW$(cT~AV{$W*PQ^zpx zV4juEH~2ziJaM*YWJ1wiB$g?v!3B+7f)%_V0$M7=4XL0Km3QJ)ob_Y+i8zwz&v7br z$>_g!iEo=qJTvq`C&CiZmOuN3rw6xt!VX-64~z4;q~=Uh%?7*{lzZ5nTtQU60S~TP zP|ye+k{98I|hL{9f4EBd&RDl z?_`BOb6)KPXv|U@X_~&u)EDE%Wh^Rps?;&BIc$@=<)|M0_jDS1Ch8NC4b$hXcphKq z&IJkzjYyhs+!C*tNa{_-=w61`)RalTh4gr7)Yu{N2B0+I@Ag$g2+N567;|4T56rVr zk2%W=-HsbaLn20TOcoxur3+rJGYBk+YEB2IhI8xtU*@khR%mdk`>FS)cGR~zBsG;7 zv>wLk8`))kLr+D2&zcr~!q7PNq_f=|E#+_SMWQHJL?z4H_+J0o-brhmF;dl><&97$ z=u^6NHx;mWw*BV*&1~s=1^>WuAwv%Sp^6TUsK6tP`RW~I)tBT!eP|=-1+PcO5ZhSD zG8f&-iCf?uWlgGMhg}&RU6f15t~zSwoF1Pwo~S^ci7(LUJGoW#@m&&m(rCAY|KVt+()uZTxVu0*xJ6L<>VX;bc4 zMM?f%YAlNP#LWo5Q}e#KwOL)Px!pukPqSW;E9@al$6kn5bM<3QT;F7@HK zOVQKn2+qenX4j1G$H%CcvwVB1nn;{Cr6@6S7LvIdN|*Pc6uYKYH{m(x7>ov@r?76E$CgM+Ge$Cv^vp=b}zC$OBZi$%<@lQ zd;XdKvrf-!+cm3y#4bRGv?sh`M}IJu1Q(h=BqO@!d~kE9LPXY!_?Uf z<9Ps#EWA#!E1;?gUroHJHGcSS_g7k#Uo_*1{K7_SMQ7FOen@B4{JyQT-ros)L=#%8 zM$!Ffv{s!>=I~QZEx+I3_;r4Y-9MJC&pwwi#ZQ=F<2?zjS7RdKni|%w9j0+*mvB?0 zvAqHnL9GO5V_Rdg7O$xNB@vydKb@WA)qN0Yd=)utg|3JtWVh&!y#MmV%c5cjdS~2S zeDP`NI<7<44Wro<9W#ZR1GyHkR--xqij0oH{Yc*u;{FByP0WmF1~cn?#=bLxzs3Q? z2lU;@dV-@?ZW@SteeR51eTQ2@-{e*-LUh>32+c>xd&vh^8=hQC*-4&x*qdTLAlsUn zRPa5j>5d-v75|7&x3?uc3h0P&5A3FL0&4v!d*DK&sj*>dPX#WVDv$@W>zyVxtRBl7 z{V!-+&x#h*iogb6K?8&DYiD$7c+|2NYsp*m=d4qCi~c!%2(H$Pb#^z?j8L;(>L_^* zv)J!o#1B((w1jJfcdW`2T5|sm`ti-N&pIP?vg*(W;_gOg?}(}D2~nJ%sP&#C zyBlicvs^*(Ay*GqE0XDWqYw)oOA+^BRN{5?Jt`s%yEnoKI_lXvo60jvour5F@Nc0v zRDD1>Cg^kc34h#INPXgJN$C#%N}b@yx_rI6bA?0Jd=Yd2?d)oA!=I(RPhVDB-pj7h zIC+DMXQo~RP_{iRr~Y$ zciuIHjtt76R7fa$*VbU%VL>)wld-7POY<=GDvL!{5OVp!x8VKUWycPTw3ojQ&n7qO zb@!!l-tWPDlP56yo_rAU1C|1Py)*MRkk2!quf1~eP!ezc7VK-uX93~Q_}iwX%(O@k zNYUa%th(7x53^k9OZ7d6q#6tuqfewy<)XA&x1v&+#` z*@}FWU5}=mknPSJ&lHURRAR{a7Y@t#ufUbZ`MnNn2+!uO@a17$@w017%-KHmSm7z< z-BWSiuS$3V6uNbd2o@SjXHGlsUqtVfi(U{qv*fUWiK4C}DK-2qHtda?_6V!@7IBJ@|r4yiW z%4OFwFA4uLG_cj@3>M4GGKYZ7j_8fdE@l-lWmx#U$(GoST(z9ro0uEO|CrmGoEw=N zK25STa`$$)QC=H)2IHTJKEg+I*eUrMWKG5FW@B~}SxwxVhcljDyJCZP4y>;GqdC*T zG%!O|63ZO@JLa{<*F5N?vV0_Yyg$ zl1kzfcthx05@K)cN6+0*iU~}Jd)wpsdSd#_3u8T zEvl;@&z@!FKJ}+KB7ea7(C}+`y1cmbzLD*+Ca*q7F7k#!aqU@JZ-LVG@A}@@cbu_7 z40M;+#(+A=bsTfeb^i`0>=J>9kUB1C`x|0mhS<0&KfG`$qq}N~HpPaipljYoN zw1?AHtF97cc*6xs-q1zHGDbJOTj`hAlby!wZw*AEaR|P)Ja*g~G zD(pRwTTuQcZ7Oe3#G$aP&dCHXaUXFlYThy4Ep?2}l=(xKf$+o!g8xol``W*Nh|d$^ z8{wUeQ*At{#W#w?SB3@IvY81{y`*}Ax_YPtzM zM*OOe{{>nSHYm^=Wt_&#XWy`VUOXpGTfA`Ab;D|7&qT%bFY*`Ww~KXIZE3x=J9^Xt zR)p$M{=~sfa<4s)-~kWC3aV$loSdU^q9@r7L03(tSMQK&LJrU&>RHX8?tjh=||tgLy`NtI#t|RQ-2b zscY&tt^4|0)Cv1%kI|}2h8{Rxd-}+oTz+-kX?Xfwf72F`=!dL-`U-;na$P&sv-kw= zlm2NSr^NqX_5Zx|XH{k3CT&S`at5%f1FMr5xK=QP|K-mjdsBXlz21wlxecJTJ7xG& z6+{x5tfBh3ye9aQre4&)Z9lR8s@i2#e4d(m6Ej!=p+Jp#YD8q7sL-pTq)y*NK}jvF{54VX(R^m9^wP`o~lZg zJy_HNFICeNx5?)ntI-U?uTO2Lumh;X6j2JQ{$#vpo61?9!2&gkRJ%$mW$bvr^MOIv z@5b&$isJdhs&q~4{M1`i36+u0zx&s;cBGSoOsybH0X{frjW8Ba# ztBT#(rW%sR#!6y#xdVD$I~Xh2Av<2sCTCsyfdFSw7;>jJA2#bA3 zKOT8?#6-7%91-n6)3aum+zjvG6wxa0A!jffGpJR#7ND%yf&R@@iDJbncfTLoaomv| zd@QbuEt+-bz@4)j?VA{wgQ`zfsT;ewaGm(JovedY_0Z1OwuH8RIu)bqJ}ILGEIH_M zq9{$LUsYwQJUtLmZ7tIjDDIN@kG3A^s){XrF79z~zVwQ+GHKC$kj8MOh96X0)4V`q zG;yiqY?*&{AG=aNZ`qstO<5YE&j!2VvBN)*v!6t-_#5L^Cebi)K(gNC%PSpNKB|u; z_%q*tR>ni=oPy3rPxIh(WBGjs4OEjDZPQ=u0OSl9pdyFX@KExLd6xjM>A?%^pFnjXnl`_04((-({1yR~nJ zqQ;;XJdu%Aai?4kuzpy{bnIN4`ZH4SrS7?8iuBj?J$U?#sOYR!>2-B{W-~-kh_w8- zS7ckI$fcaI=_%@%Lo?JrMUSZSM((Ij4m+x@zwFMwIcW9bC%=*Pm0o$jM-danW0-CC zdR{ZwLraLW1k>+YWH;eMmW4#kVZWJ*s&~ZZpCRS%=+GN>L3kl@brF3FJAg_*n%P8e zyNGJ0hn)8#fz5>{cB!t~6@zTiM5H&L_i73+W2X+gug3J4)-5z2bA_1xHQj7g*GiSy zh-S>oGC4(OI`5t0{T|GHaLiC=NWF&k8KytJoTp+pUwP}-{@&8__KcRkdVj+cuiZTm zezUW|zpWW*XU5OF8?_DaSgCh)>>PhX`|`7sJ5A)RdC$^Uc3HS}vdX&$-#-DL`aT+w zJVra9OO@;M(0;d8(T-A}y@aRfD`@6}T#2KhRB%$IYtgpY9 zQQFY=Lsu7;fm>;M5)Cqg=&Mxq!B@=sw!c5CESy*Q4o6Y=dv*aMf}&fc2#PvX@00s> zH9^aGPxKwSIDnv7{;BiNw#R-??`zqH_z8#==>LdNHg&62>%sp$ntx0e7i#}~Cti>} z3D)}`4T)mHM`&IO%SDwC1 z|14i7JEC4_I;}=81jJqUYy>T$CVse(Mafo`-mjcraCEwwI((?0KhF36|}KT^W&gY&dMs*qwZL;u*0kcsJHl z;vje7+(_7FS9)*r-Dy{{YqqMW#r$qs9va(*-iz~Ehdi)%)Gqeu-~(-Qx6WfJ(3Fhe zmA|20vG#p?(H3oq7kT%d2A#CL)3R`^#Uz@o`ljnnTYI(y^_?wPHe$^>GrhC%ez3z) z)uZ~Vy+fK$Xy@u<*Q@%~agV{l5x0Eky!iF0Zf(h7=Q ziQ2i&6z22NHBu;=K%!UsN}j0 z)=RfY-8PT$Ijb|*Xioe=<4DD=%0XrQuAYH;axX16i*GdfyYg^$0okA65W3KRC{ zl&mIsGo@{y#b~DC)6W=?R>FR=nxm;tP%4~^Y8t!?%~aKYN63XwNV`Gij@DbmE>!Cz zXQHvhA=xE7s+ZK3Jpg49Gq(N)ny;Qr|4^2XYA7kkalt#$K5JpO81EVjUmc*oCq1PV zN8H$N=v}y)UF?FY7>@DmC)6CU54bIhwM*Nb-3GBF2^Dg!ub@umhQOZLdp9lHaDY8<%zt1qozD!H+q}$J?a7r z4r z;|AQymK60_C zDsmLxPhb#3Pti>fZxdcg9R&A#x6@7bf5Qu+28GWcXJ$HZu5nQFc~nhq0)1czWc=jzg50qXY71A>nXu04*nxTF#+1+7-@)`Pr(fs5Qs>*|?o;%O3-BO9e zHxru79ZqZA^qBT(dz|od1k&%Z?@Wb%{W~L-ik4B&QhIyX_3gLWyYZcI(gJR7(d0Pj zo!Xg}?r6hY4E_`ILG7wH_@Tv_V@O5sxMd z3q7#-fYEyU!j4|GDyX&bOCgEnk3bqzk3x6*Bb*TA#SD=-JeiGllk{l*PG^qb8G)YU zuG57~Qn~egez%{%JWYKWZKG_}@u#NRZxAPm*}S0YF4egt8&q8d+w6Af3hPGQm)63c z*Jz#6dZ<%=nf0f}x^L?_ecr-?zVNt2z?Q(B=`-tjR9*88aLiE^VugrDBm2}wUSJ(< zQqNpBrLGnVM`K$UkY9$xt=Is|0L472My^bZ%lTXEgp$#*i)?@fvKKfx{^}_hD5%sh zbe2Rk&{Qtw(PE5#y|9GjjOp?o($jn#5B4Q*!17h5ys)LdcNb_n8ncNf1S0kl#D@6u zkay=<)*uSV%p^Z0Qee7}9yipBGynKuopXt=dR}Ff>OU^E64Iv+> zE}}?waA)$2kV0sTw?Ho|XMU2OUQK%y}{ZpgZ0Tn&+ZLXO%WNwFhpDZ#cKVyVH9PeqfT) zvRWo~wydM-&zU}=H?68B)$hAbWYGh-38p$dgVhBODR0Q{&mZJ$UT{O!s+EFQv`-92 z?~*qztD}q|vCtjK{+ZTFWFz2BL2iMx@N>3~py8->@**hPb(CVaYn4aQxV>v}^XNj- zKQlHx?E>*gtfQT!4Sln&)_QjDWSNTZXt$9mT`W%DG5#)nT$M4!M~cP6J@)w#vr^46 z>I>%W3eGD1FYn=K`l54O{K0*6MNU}py$yD9e+4^Ff0O<=bBc_J=vUY=qABf)bISM* z`XBm|WQHrnU=fiME50w3f9G-$F1av>n1(HJX#pq9B6Px*{RjSI;0zmdsFm;$8Ie4r zikRkbq6_aeRx7)sI`h~PkpUCumA?HZTTA1^QDatcRPZ7zl8|M^1x1II8vF=fq*o1Z zAEP~K&(NCWhAJsMb{a*SFT13Qp0*S78T#u;DoPH)3D2?JU46+^?=uN*$ax8^sI%2x zw`GO0q~*8!#W9=U_2!1Q@%bHkvJRkJe#hLoZ}d8TEM_2m zy|cS?K)qK2i8AH61h3`q zg_k_KKg8QwwM?@ws=U_~zaey%SLVNg(9%5~uOC`wRo^R~A?zvrR9p3nm=PU>$2703 zU!-}`F(Srkv@pvf@sO-l>fg=9SK)-e_kP4_Pu5=RULBa^jiMQcR$O#J$r~OeU%)Pcu1A95udAO*Qf-g}MuhvH z)sI;Hhb@^@xkYEp`J~rW{J|bmRq77vPkp|ewP?h20lz8w2vRa{TuN}=zKkVLTKoT& z1ua>iS~Tcge?{kBlfJFU)5G~8i_Ql*G@8kShGeiyQ|(i`Q@u3E0H44Qb`A1((VR=<)RMvyPy6ls zy}FtzE$KV=SKZedqthsw#h4|Nz6GC6eBW^(N9Q!=WFA@KkL=MPN5x|%s!lrKDQIuy zJ(Gb8(q}|f4Lqmmsja(FXm-pS67k9U-k_a%sAbNbWbo+7@}oXs|I>`Ti8@!U##MBE zM#KWTTfJBK`D@;Jt`20%jI18K+Hfo?_V$j*w4ze6-cqe4*?T8w*jISavHF~ibs31u zkj1l?_=U?DisS0Puyh71il`}9Ir|ze4X6Nn(;LWdtjL%-C7w5T5(y;OIoh0hVH~36 z^F40!RsRz)tIK(h&D!9YfqmfQO3y9ObnxHUljNIYmHD^qsq8$F6y{q=(6w#@` z^ySKrt?wV;qd#-hO}k!qox3Oc zyAk}3h=)h*1$R!7Q))}F!V7l@RQ8{GaoD=&oUvKy`0$yzHgyk;QG-r$=lzay3yjek z`$Y6mP;H~`U%?!1@iuZu@i5og^GOqAQ!kz~qp}LqQtxgBjMyxmYrNQ4yZEA=#h*Fk zj-XTDfE|umVh3LKM_HNEy5JbKnpRC?4{6HcqK{PaT>f5eqt8d@1a8b2BBB(cn(y~7 zaF*5I*S|oSvf&q$rA*(m$l@wGy?&QR*0lurc`T!kI(d;#jQvn*>FN2X97qnc=-~3n zwRTHfSDLcCdCm@N%LnG~%I#H(sCU5Ml{>7vKd#@G71fzID_=X-a)*nT89wjq<%sR# zqnB>(d{ym6)-)|S#dQ@Y<|p4l(-NptmzS;E;%msNXJgaue zc7yCeZh>l07ay?soBT-lyo8Up?wc}1oYOazG0=+hEXrTXsQ+{I014CluKs5}@I$uz zR#w!wqB_PEmGsp~m-^(2avOC)?7s89NI&k~K2gNAIrZyyL zP2(^JBL8Fy)QYg`LXijx{BzTvm<2vN-r&`_!iul~hs5j$>~+PJXeRDckoo35ljFHh z^hE++tQ9Tg8CYwPpU7TMc2@l*ZX88Zt*LEhCM>f$GiaskkaH5zL7CZ=c3Qk#dVv4? z2~CGNLTC7{jVXf;(YEfUuEDW2oHR8%_3UO`q^NDpta~Lql%MxHSqna*#DPk$6SDpo z4rH#;#aq^+>qVhDq4420dXqet(nw>*>KHC$)Ec_K{I7Ir8q>|?5#0x8aO(=bXtko9 z{BCNl=%bs+3Dq4oXQVPTHEy&Ev5TNGK*cn%iY^kHd1MsLs6R68=~8|-6W%qrF%f{Z z@RqZ~(p#BBdrU`XPVo=WpP*ap>b0!6C@IA`g2D3r!Y3E{;-UXlF6a>6d_H^2@8_Hc z&v!=24~TTK;-J)RsC`7NKsP`$wqLLF8XWMIZ$b3~^xoq+ClVrF;W&^qqBUZHp+!43 z1-@mZvnP(pm}t|bZ<=;EZ-(fMozhJ<{VMLAQZ#np5?K*z0dGW%`8KleBhn>38m^^C zHJoOOZW`lniNC4u2zUD&M2bd2Yh>m7y@T2Fr9uA>(1<%RNGs6{g=p%Hy6FBO=JxT7 zn}^Jvcc`GxxgJ2J)GLW-t+eUFN!vO-JNL0g(e0hA_qwc-6V&G)vkPU}Iq{40-e-C6 zl}q3IEL%5sP<2RJd-xc4DQ_?}-+3gRhiFHXD0(>a*@Im+dTO*(RynfnpYpf060ENA zTPEJFU2WaUQIB_NMeMQ=JB|rC9~l}%H$*1Q>KXJsbGw!XrN~Qs?p+^(^4f1zZCgWb zu=_kKUommKdUmS31Xok$yrL1_9V`n*8TL<+Vu9^Zy(jgTPfT~Cx1o^;HL*q(mGcgR zM&QG*dUxGn^-f;1O5ZZ_9N~KAFXoos0pH>Dmf!bu`fm5PnrGr}FJ#|4vNEWP(H%N! zca3cr=$kve4J_a zJ*q$GE~2j!+X;(Dw}zhAvh9^~UU)(v=q{#5OJ{tKf3YPCk015E!pD{8Ci)a+HKeb{ zhRa$miR*Ru?4KC)M|7`DE{JsuqJj>yC&riHRkw{gOpnS(a0Y)gGHZw}d2Bv#gmyq} z(214%Z-t{s6Y&afsGcYueLjB@9Lqa!gxp06+G9k!z!0R(QtRk=HpgP%n!*(9^!G;LG-L-RAyh;4=|=DfP>MA z7W$x`-z?pWkOk=U;tbxWqd>e^k1jHn>iEcM-*fR*ulOA9X4{K%!p}T=frTqihvfY^ zvF<%fMDRr1D9vnqSCAimK531PJD2DiaKzAHv|4`Fb5!ef)Lb~^QU8pcIhh_X7wa3G zt$2g+NTL<%5*MyPs{BWE4Q_-m%a_KwXm^A`ke+c!^?>cpv#E9R5U9@A-N6>LR@RC_LE9SK*!Z%FF&`RJ?7^;|DllMn^vXN@-dRZ?4i6JyWz~9^Rpcq>xa( zEp$@!H*Qnq?Rj42emuXYoB$;5MQUccNj$g6O1^hEK38wRK za~Ps6i6aF+MkB({c<6JnohOf$X6JkFf6G12RbNX_2wsjZU>+llD|QdWU&GW?yl>mit}EBR{^ct+ATC;an4G61&w=X%^tpjBs}b?65%#A4N7l2G#WIM_*8OI zpQ=8%?$-hP&S&KLZ#xfV+jxQ#tS9kbc_Ub%@_?rK&eBks@0yW!PR&KXk0xSzvdtcjA7vh)FgD@sKJNc*X|KPdy0M)4)#6TX9sj^H&US15Z{0gE z1bB>uz-~)PE4k1xBp%3)MXL7NQSc@P_bRXsnCwN%vWK7L*o!?0Mg9-hb-Z z%&4BtdyOfr4Q+g*bJ-pC_WB#$lm1=rxpU>#JI8)b&#p7ai_SB2Lj82fPx^PrTeO0* znw{R?`eFZJA(7jGW*J(ItQ4y1P-|+Ide=frRqVN{=jeH!<*wsFk##lkEIac=_goT{ ziqX7xZ+fHm=jqq@)z`ZRb6c$NMfY%Sqqjcyy8nFUS!ZMEL+ACA_0F(cK7ZDEw)_`8 z?^P=|1}!XeT1HF%#Vu5J{wq*;^z!ZvKw7Q&1SA{$O!sc(edj$M5@9CckNs8Y@&Ey-!2P&QMa}#4! zTv)#g$^BHlDy$hb_~e>F>Cc=&DLO+#(sw8P$Mt#Xi>{r9t?8Jw=1Be#bO!G55{%QS zam)6~68jXU^fY2B_BQiWyPB3bn?{=Wn=GPIQ?B}&H9NGJEBpqvBLv>Bva)f%F=O_x z9ZL+nntqqRcQb0)<*w0-PUqK$%g!p(Qsetnv~xP0@71vvk{dnc)xCNo*uDApjF{k| zaFi5XrF^2dH4#!}$?Pc0Q5E&Ar)_s%lFzxb@FzMpkZlPKyk9O=TT~?{G8}j4ybv{Q z-cZd)W2zsny%^N7p`QikbA*?Ed!|%!v8K{}NhCMw)rjWexhB{2p_Qv^1UW}bs%;S` zw7nwwtLsVnIYDlXI`6Y*?l!(+tkjvmB^NkEoo)SPS>ZdRxY#Rup;!W4X?eDSjLI zuN`}XRpo5idFn`&-Cw*v*1IwmImnS+yUht|jhrZzpfuJys!jPlWZv$e6BfR24%55i zjD!_`MMWkw6*Lkm%&4~v8qz!JL+#GKrQ=FavAQvPq{X+YO~qUEnKfO`I(JetcGV@i z`_7cHqsPemMY^Xk=4)=o4mI?5sDJf_UC=Cd=o}I&X6CklsehHX=Pi|)f)?r2QO2Gv zW5+GpW!^i?=M6nK-p{{he(xDS<~Ba>pn->H{@T@+m^pdHu`9NAJKQhszV8?_f15NQ zI?^t%=ea}MbFI&OZj7~*W09S$9p+YW=h=a!x83*rOf@a&C2vaTe{ey1S|_b4r`$9F zC7Zt3IX;6{UG+ma^@lj0c+DeKny5ixY0>H@n38D|f6w=0c7=yCtIXW=&d5ux3ZBZo zODT6xN{t~gie#+aKj4rNDSt<#Jo@M2T^6BzFkvO?GfZfP-c>%AISTtGlR=BNfXUTUAJ#-q&Y z&JOV+X~0?$wFHtbtQ{wAKskmNAmemnd|vr;VA;vYG+x~_wj&!Z!{1{OL7^M0{{RQ8&L z7?Q7;H!i(pPmdf?8o)_j^2y8A>a-eeh`T`JkHKFsARjd1M~ZOYA;IFlMFthV0@n~z z)|~mTHDA4l8HDAMxxs~|?2lIL34hgvx`NJt`cGPVTWLwFLZa$jx_wCxWO#+rT*AWYOl&uHZzqxF=XqGq3U9 z$mSG>)D6&%9U33|0%;k47w+Il6~fp_y_0^&!d#Ox^>AWsnK0;&Pn}c&eU@`d5+-JHnG|MQv*vPW-%cY1+^@yZ2TZ z^b&r^7VRXj632uOG;g75rt1za?!yi57#;6L#tD*}*?x;X-L>>8tBG?VCv{~TDTcL8 zC4?7A&E%jazc0IJ$wk+W|Mz#0)10{CAHt9*BBmNrhf8#3xA&RzpiaeMSKbF zH;;+=7{!)PiPGf@K-&Q8afVG_5NS|pugRW_Q6J3fz(#Ctf@UKc7}Gt(f5!N>;|SKk zYK*bHV|a5$p&tao*QcVnXim~c59pQKtXkUF9SFXd|M*4EOwnJiH1G0L(Iate%`fOH<`a?G5j&;#jzwUJ^zT<`Iz<$by@?si7f=4<5aa|D z%9G{1po75tE&t&+^HTp_>JYT@3s^C@Qtl@x2O=o`SFt>0!g7x*#rfuajB z(N>*~=&sygSAgtZIuq01BVHlvuGB!N&*m+`-l2BprThcVEu&_!tjC9Q%lys44|J!$ z5E*Uwf&DEtFa7QtpN-uDkebb`#%_V%S98mV@!a%x#&gSry2Nj-TsGe>GN-8UEEFryhGpY-h=XM;I&#Am4_5S@ z3Yx7|CDx#+0NVh!ZU z3+}$EGc`RXJ%g}5Vr3u{6y>>6goOK-m_M*VCp<^IVH)i~vv$`-XaVVTU3Xx$_eHxS zN?oSLum5gsy6hS|CI3WzPoLKgB2nd4H7>xcF-HDwtdKM1SaqulfOF+|4>WKFPH`V} z7ZrnufrFqd@0N`6351s{s~S(9iEyLSpj%BFu1nqB_r8sKXUq5o`J8?bB zUw*${8U%-71ih)uir5R@D`_Ans(=9H>u!-XBTJZ{J!?J}UvLF>Sz!2_f>Y`_Dng?x z2q6l&a?E_hOChqZ?SGCXTJ=nt2w^i0=`4KIc?kmFGKq$Qk0! zlIZQVE`Klc;&%oA{vVx;JR{DMB8G$4$5n(+y2KiW#PY}|9LEW8t3O4yO)qo;9 zmTo^}Xn`9Yo3F;O-V%63#(6q3qI#fe5#sCO_I#Q-H@{*XM06u3{sc})wH&mU8}$u^ zOI-QQ>WHKfPWnd+$e3^M5LOKw4GZNEElS7~*D0)Ht%77>XL$!47Y%%kt||}ahN%j# zs`vG89H0K!yP7F_zOp%=_J#{bOP|L$WbTaq7WRQ8GSajyhs<5UvC9_`RUe`T-dj-M zM`-wXNRSFbG2K!JLioC7gpdX12cd1SN_WnL4j!oeM7_w*aI8t`hL4AF@9F0DvYdpk zCee@BjLBJdb9N8R%~gA;dpHaBaKRN^I3Z)pWGBK^ji~S6R&-yWdsi32LqlDXk|8KG zCkSozyuOt+3UUFDaNxP{#hAm5%@RumKO6o$v}Ezr|FKzihz=JWVe(zrEEm$+g`}3g zFmc|&z1!y=L5eLD%O7C|3f9S--|`_ zTg~I&IHE^=M32{|Glx7}(0vz&gM*H7Fgzu~qY&R&BX?{F|ITdO#DuT*pHc_t(2>^a z*YTL6$-nWv_?x_Ij%Ov3mmZf(fn&bG1AnvpbMNWw07T!~($8?kQX8#WTeZKbBX?w# zA7s4)W%*H?PM!$tv|8PU8*qq!z&PGkov~jcf`v_Zx_r=~j)i^)QSpmo0a>Gn-_gOj zZwJ$7(&?DF;trm@P>?O3Qt?`t=YU6W_nxX5pFVNs#~5!v@x3oumeP`{btJyk|2T{H z9S(VdSZT6D5gB-H-W*@X0oGnIZ8lIL&% zL^GMTdTaP*eq@!8uWZb}W9~e;s@t;a^mn({6uk~L$A+F->i|{zYpNIhR@~?JG|r=Z z;L+Ma@1Znoa=hrrNXOIx@%i{~=v*JHL!L)&5BegoH=Y@+Hf*Q-nWyMa0#6`Y>gjHMfI`O!Nzg{^bsx2wIoa&D9<5B-OYL2?1vg!ojOE_gL*Q>T(PhGO8 zHB;W(o`ZhI*ZXzZv4+@v{M+aT6D$0C zR}x+s<}E9&%uy@f@4ZML6`Zh{yVvLr1 zl(8C(*S$U4f)@}~n(pM|J0Qnrf*#^`*E%Q!Mj42X;x_<&G-C42@jWeP=QDfYjB-aG;J?o~tApP2Mt6IRHj=L& z_L43OPkD$A^KUxQqdK;t@)zO}Ay>#n*1Ma}g(XANY$!czl*^}P9H*#~?#gA~BErGS zb7t%1^Ue_()OsDgpqYd{GEXVDrmP@y!{L4OM-iXUJ%+M->(ga-o*_TA@{JBrh9zMX zOGL#d(vl$&r3romE%udxaWQ7=2I7{uXjXO&deu8OK~mKkvuHcDDtC*YMn<#GyBPr; zt3D^$pu4~P+vR`#GcBio`-pzMZ3tgbPqO9UnoS(PZO1jf9}v^@ol|T{2x~h3wB>U8 z6Z9SGOGXZ<#-{ojbuL<5*j8HV3&x~}r=@i>z?ER>giiubiS19#(J^I9&yCF7RXn-i zWTi(a`<|{SiLvF=x;n3@Z3|%OdZye*DtwP;uy8B>73$PKbi0xU*l+3WWA%!a14^vr z@4}FHw>W!no|~EDS8@Xn7#Ht6ai1G{RjC(|V^XR`LuOu6<`tIl{5QWfFkIjaTrC$J@E@;xEYC>E z0@IAiu#q>ec<+TVRxRWB8yyDQ-$yT%F4)x1ZA_YfA^Aq11}nF?x8XbJph5(o*rZcvmA+ z#=A1k?|be5=$`XyL z9`O4@*M;)y92u9Y-MwAxxQcvgXHzU(GmlzP9qdi5@V)%6sr`II7J%nl>m1_E)W7G> zCBi4<9=9*3@}ge1;G{!dJjkElxh6f8QlqCR*g<(p)Z>hv6pHhcud+!Tsnmc-zm)Mr zTV(KD_wJ}oy4m?+pOboMZ}ijuBp#u9WFSBNJ#$^-3!C3tzNdmOJVY}-=cXAU%+F(a z%8B+;j6(i_gTNG8_Gk93_Azjo^yOiKZ%C(mWXY1 z|7qa>u*hP?l|CCwiky~L-7zbEEYHZAQ9(}XrobBtvQqOgKM~T7-DC&gf|(`L=vdkn z&pbIKnb+;R9y=mS2HT5s7N$IM&>CJ``yI);_S?q4Z#3MbcScxdRb^{u(G;sG@n^|f zJo~~i)3YZYV$@f1==O~+KF6RftxfO7Ds$y_BN!6Xho+*uGN=-dWOyrtj2e3X8!nXg z+4BDeG}7a`*PDm?O@v*5-7Ts09lO9eX4m#PS+4k*MrMF7Mwf>+ED56{WTidD9^ma& z0;cE2zmo}~@1-2G9MN~pglWa#%ja-wl z{KRQS;~ckUH@Ziv@;mJoOfl>L=UA&?*iHmF zg_cr%$9yk!s-lfRFYz7KxYhFwuK@LCs;|!=5kn8F-_d(R1FqvV6~s-c*2G0`1H4r& zk9X*&{4Ffv`#xe-^`5*z)S~q5@!!>{f-F$gBSM;??Z~4Qqs2YFiEgTR#HetI^m5Hm zB&5BOk)uK1!Q*A}z`z1icT^tp)N%_i4lnH9OvxX1%uZDjC3%wX}w%*P(ca{C&_%^tp1rGUM7GkaNUu#XZkCwzc@Sj39Qb3|gd!GM4a~-$++y zHG_qCSDtFpxCyv%U18aZ#kDD+As#mxdRug+EO?w0DSWmC#TcdVV!Ij5M zd_xMBh>Yw;u9nVu+@kJQpSrZ%?KOHG(?>v6nmogx5yv452A#X9xLwvlbl*&F#H{^< zB{$u5DZ!V=Dr=N9Zbw8*OtZX8_Z&V%543$-yY4_yWq+Mx?6&*#P{9M9s(chW#6bhP zH%)}{Bb;wFF&kvO>IrP?;7mr*lVuTHi&{bO4k)R2+GND@Y>bCCO&lU>RI2k{eDr%Hs2YS zL?V-er5%nQ{SE5PXVRw|lqp*v@>023%ctp)J$224tIR84w^}!$=YwAs87TH(4Die9M#FlpeCz|Ji?&Z5X|XFT4Qh#O)wY;n(CDjB8^pw0z8~?YA_2ASrbNbt`Sv<&AXqm)FwR z8O{(=-U_Elk74W(a+{N9sh3URTA3|Vzsb2vYmsgkHnz2(Z!HsZo5u z8NAM>jBMSgWqJ7I(>Us_t(gdKAUPj=p;mwwrl8^L>KYRk*?k>QdL#a6WdD`2gq-d5 z1%9s`Qny&0AhM3ym7}Q!nRPzHXvWH#qRKn}(${?HzmpHC`>V8Ntvptma)o-^tY+!RegN{H zK`4C$;e`jJ>Z;DVoK?P@>XsI*82trlKAaa%a3vV*PR&`|Ryig&*3GgOh2yST!T1cx zmd!r2V|2k@-(fevVV+#OLV`HoIW>c?(c@3>x*;#9%sNoDWMZqDbwSIBUh4Uf6=nGx z@`XC2kw137s)M@+G;U`p-mV3gxf$Z?UjI@qh+YJ^M{)LY?1P z9p_N=^0GJVOhct$Imy6q{s`ZTYCZ4(SL8P>6dfKu()$Bm7LQr0qbI#slhL_r<;^%z zNtMbCeuwEvQT2SMewS}SCvvo;oUtn`cW$UW{CSo}S%4F$w?vv6U7J3kp+$eC(ve3trDN)q1TB_?59#0(JeXqd`?#S8%GpJpN?@q)G`+x9Rv_Ffi7RivrAYxsG zud)0tN^i@U#`myF~X)+L?2VW2?h~= zz`^q0Kv-;ah#oHq4Y4&%wU#lPURoD8BHMdZTmG(pK=r8idw=tsg>$4LC-|(5IaTKT zLRC(Zgl@xh}FGv#X-Qh9l#%_7Ao^U{GMGa3MsEI8U|b zMV+G5<~!IJx*2dY`w}FzLKCXbR9&rnN8_d4SjXY6?vouqHg?mGY(iQd%DNlJm|=%x z)v&ar&_RpFhb|R1>&PgY=viH|AJEZfRGm+6R%eXF8;8|`hEw^xDk!HvAgyIfLZjF_pW2bs6|<|TP2|fWjretyL!**b9=!5J&S4qk_p%k+9}I~`m?n;dq@0Pe9yNkzvrJ-lPVBrVKwOf zvag)KI&gabkwn~#`g8NW@TN7t`#h>IFjxEC=eFci=BF^S8I$wTjqDo!4(}Y^^;Q{psODIUY^d-ESOQ}B~{MpTi@0=i2WVL48l)@ zw(-yoDSi{@A$?A|`=#}Y@T?@4{ElbkFzkNG46Jn`!5ymT%L~$bfv*THQ|BFL>K*o( zVvP&KKIs`Lx4gZRb%*exOijtBVtwp^ryujHXoP<)uS(pqhrBA`A^Ej_l{kZf5&wt1 zDseY;rhm6rrOih&q9^HSseNtft{d=>S4FZQs4A$m=?gvP4Ji~Rge;cHB+V4c_ZZ^s zqNndrdU?jw?D7Bq@TMH77ERHDsk$Y}RZvRSy^AkJ-lujwYc%E$ZH?BPrmf-r>v&rJ zjVUd5!5Vyj2;Zi=M(+)M=U$UcR*%q$l-=brT}% z>Oa--6@Sj!(tpC=pGIsq(QbTKb&=&wE6?h8X;RJayqnDV#npUvBQZsixKAs-)S0^U zRZ?x`U#2okIJD<{PW-Dz1wC&et0h~pge_I5?W&HU z{?7MPn%U8vK;o;2f$BpW2f6_#exd z_C++3&zjYkHzkoA$T`-sa@;))ZCE~o?o;Zo!*e9om8kDc(*s7f7qmZ|p~orJOz6bc`xM#J_#M7>kNfl~n^jDn2>26KL;HZ(*A{_*xae&(jA?znd4)A!-(6g?^9kYgf8A zeR>bU3Af;6SM*jo;|_mPO%ZlN-8|`Eq)ckLj8z1$)tQ@i{WZSLWVvDT9IiE3GI>pIQwc$}4W;Z?h-r$uyz@`B~Pd_`= zI^vAfn=o$))Q$&y?a61lHOgzgE)<3%HU3^Z!<#e9%!}?GJr3k)yWlK`c;nH;=xg;V zYMtH87x3xO*A5{%>YHAaWRQG1Jw-I-%v*y~5mcK~D=TygO zQP3DNUA111GmA>{-!hL z#}r?>hw(Yx>2G~o#1qJoRvb(HP@x}n6dJ*Q4&Vuwba|Cm>|&Y@0@@`ihMdg3>i$XD zfFL_wPyI>rVGL};1|j821y}vgGstIAPL1&pR|LaJB}e6!h>EE#g%6Pb)TK=s`t-Y{ zYCjdZI+m{G`}mllsTJ)ovv)SXYS~e5z}0fSGa9$)H8bsZc&A1>N5v>7zR#G};m_QeiJd6DB#nB=s_qe8Q6(f*W--5UXV{s#1TU?la{4gwehX({$>*c ztly-fzwW$HbeyZsW6Y*2+=tllb)uN0W9CfIm>a&ZBdki>ufsc@cEM|Lf488cb9Zi} z`!`)<)c2CVOD}Za(v)Jg>uH{)A3&3Cj9QNH=tFRqpY* zzy&NJ#}d|`b%U_(v&VP#Jy!+G&=7i;)FCR;Ej5@9 z_yIK613Gp^Rc=e9DtjfxsQD2%5^2|U-;ql6&un*j_5D}0Lh_o~e$~71RB7cG@DhEF zX0$>TJ@|@v{ipsX`WaNdK~+cMko2~^nW3j4v{NE0qdCPYbEk7Is*%b5^e?F(RL~)x z$m~k)$WEx@uPU=y|6+tI?mrw(v+8|ZgeR0Yi59rO)H3b7#`hYW5(-CJQN!BktK+HH z?p--@?FwI2}w)s z0JDRyqaDxz@7k7&DU>%0Meo_;`4?vr1-Y^-(&i4HFyaQ>wq%0kfOCmk+Q~QQ;H#>z zXm;45``{PL?iM-pON&NMB*D~cL%lM2_W>OIf;c7>|DSLxI9v6#9EJCml}YQlao>s) zSK-iY(fm|pbd6`O(ctwycW`*3Jd9Oauxsoo3E;9E@x^>Jv zbO_gz;f>Nbp zimI&Q`NWdcBiZp_^opU-fTkC(5DuF)ryT#dC!>gM(=k~m$Xw5fnn9At*{E95&j zV_dd|ce;JYSs6$L;lzZvj^~K97FtO=Ls5CxoK#PQveG(JY>Oe@6mHZacmU3(+4V6h z?)*fxnEget#nI!0lWFM#u`AdLlIoJ6Wk=Q+vs8xLN*WOLa^Dob#&d_gGx2x(Zr)M@ z8@;gqGdi3)i0{uFb$*^YV)oMe%sc4b9ytdiYTq7Bok0urGa-Pf*em3OZ3rTE`b<0e zHu9Fcw-cXv%+Q$qoMMG^A2b$1W8))|-0iOVFC*c+iQ%fBpzeJ9zB(5g%n@4a{@kIg zTIupgx$<_p`&m!Zh}a2=ywI2Cin^EJM?}R->_preVR;-)y_D8ltZG%-1V0jp+krhO zYn_+<9mZYj{F$8|F6wt%R^9(gAGKFg!iB%;Z`hJsTlxz(<)=amsx_q>;G5I#1Z-&x z4?$*BzJ_JgL$`*CuiTUP1CkNz9*RAHLLGzAne~pk@8NivBix)ZaalB(8SfT%n<~y- zIE7gs*neK-GF8W8h2RZZKNQy;97{1?6{*ua4rg+4*ms*-lT zU3*jbFqv|2J*v3EH7p@>C+gc1AGBF`fTU`!Nd1v7@UAhgdLyHIT|T+(?k&=5Tyop| z8b1JNEL%!F-ehfDw}Bk?wCT*-T^Z0mgYsQbIeNn<@f9pCpOmUIE4o(RukRY+$9t{4 z9fQJTw{n-H@yV8z*AHK@Ryd}gpLg}C^#qORH}f5A|I#D0ndNZ)G$l~}Aoj`LQ9n!F+vv=VttYLU8yD}S?f^al(IB1`qc+5u3wMp$weQ0w zV4Rn%4vD>dEg6yiAgNyg97d(ms+UDRU^PpM~5raBr(?~D=F$$HpR zKWSSjNF=giUP3t^itUd4#;YOQ&ATFr30LGE%JX&ZsJ3Q;j6x2)w00_wmpohMoMjyF zgLD^W_V}c*me28sC6AAuBtFY4=we8Z^{9vLor5RXALW(E`d{M)oKF4Bxqmj#{}~+H zCt1uOsmdv0zp7`Jtn%I!+O?mDH<`v$e*cZp>nbO^p9xYLuT}QSfgN~^^&wi5;AX~FJ;}ICc z3-rR9I904B-bvhJA8%dip3>xb9@&x^!Kzt3!m=HqXIt{=j78z>ku22rg|ByZ^E=qp z6W`hH?4Ze5cW}qIvfs*lL5t)w&V+qG7RJ!HfYUKrYR`tMw%C{CmsL7BUOB~LI!1Hh z_JBRo7~vE81fMAHYU%o5BS+Lfv`r|xrQS%adhE!9d1x1p$|aQpFXwOJ5bAO1RfA3$ z&e5xY+Ky{v#K~h7_r!d+@xvM)>Q(tXL-L^qxF#(YReyxuc*e^A+8K0(rW*5|24lLf68CRqzk^>gvwjEY6Ov=T zp_Tzy?8YXG(my;oM@qJDL&M1r;Dqv{hdy`I-Nf6#oy13D?#CN$=++S$ul)J4UhvOS zTV%KQy1O~Q*?Eg^KQ-C1OK>;!!jzw1z9y|g_3Z17vX4-@ynMLez0v6+UTMu-5(|CO z656{Bzn|Idy_k8EzJ?>!%8gT-dBxv%^6zI$w^iCzk4k?XIso_X|L6*it@#E1;FyN! zjJd-H7;UQ`QY`#^=PPI8q2O)Am&-XQ#wKsLI`K#jr>!5DVOA;Le}o6)BrF@*72J6= zq(%=3%X##&Ny+}1atQjaL=1N&cO zYR-t=F?yZaq|$nXDG}x0l$d~YOhvWCwR)e>JZjY)(5yF(OO@G;uR=IQbr`)_{>-eu zgv{t%ph;g+EgETw2Tbv;8z}n>ZYB%)8g0!|mv@>!Sm)Hu6J7edXwtthPiKMk28!0D z4Sa*QTr+`k{OyCzZlCjDkBD5bk8lqpUr^V1@3LAGps1|-f#F^D9m7X&{u=)O(0cK~ zXa-ciLAMjdjdZR{#0AZj%s+}|gr8D&Ad+^RQuE#0%_%wO8Q!42G>f%nF6Tai59x8D%D1{tLcf=@mX;JY z#EP_w$fmJOv4=zP24;!Qc!dWnEBofYm$SFUtFy~hMy2Niaud#~j^UZgLMwU%w_%9n z9;)Yc+;K~8*%P`Ux|tt3U*QVdXk%wf_Ct!#*-O)+tuhX`FM3#%S?P|JkgGH!wPdQ7W$zOz?T&%rl*8(O>xG{&X61i+VwNy&%YJy;@#IQ$f4XYGrSXKfQM_^19s zcbk|6{JwZgf5~eFaT{vMY&hvG3QNYs;MxCW0BGUo@1 zW2{_hmC{S>p*viW-N)Y@;mey89Z0HPdg`o9KO@=@cLYs?zra>^_#}?Ns>WwXLwyRx zs#6x!Q9Tp4Sd>Smg_sQy$K_GytD`A73ug7%mXrNGnkf{Oi z`#hrHw@dQbc}L-dcsSL$Q}HQtXh+6~Tfg&8-g4tojw8jKKi1qn>jFPZe&Kb~P4?#+ ztJ2A}d==S|=ycjv5;P}o`9XAU(OY=KDJyVSm)O&VtMJ9jgHb6rBGmfcKy~JOoG+^! zsFwwG22*m%?^irx@LlylQh%h%Q_wl=7q)aH=Br2@yN;fKHdDsP(d*vU&8Q9M*G*$1y{jX&?X9l(HJfzvec~tkJGf_y<5m~nv zyeT3vP@nH6mUq~L^g0?WMwPy>%(X*A=bG`T&TH?cZUS5rtO4)1Vh(Y;KJ`xMt9y-( z$M4!XYOwE{X4ZA<(sz8b6x!5qF)FhVr;X~<_y^iPHYA*HLA5ZXPlvrN|DU}dagXHZ zU7OnNz7w*A8q<5TcO4=T-Q9lpW00cA3bZ7Mt)U>7Vkvy=w1@=2~yF{fyyDe9+gZUbj$I?z#N=nw?Q|@MdPYqPU$T zpXGnyxzbQ3o?+L7q=(uwp0ED6Xj^06Ghqqb+X~MXG^X2BtYcFvH^BadNx8yF;FEF$ zr)tzbZ_`DK+l~7yxFP1alJZflBTnvJ_syJe5XwoNn%aa%ss{0F)7@IL{MI>RFpZ$i+QTEF= zw|0{p+CB73WC3@#<#j}NcMU=GED&c}bvbKv!6CC$e>=}w=QkVmQwR|d}sjYmF|f5)d)*#;I+$$XgQENoGRlBHTVlw%Typ|bjM_YAV+UmnRbG^ zZEVo1-k$XL@ZDjXqf3R}$B5VseSGfo@~jzqg!f$jTH}k#S%Bfd>mJYOyn};6@#5Rz zohKrKHqA=$FM}~#E}camy1(UyK6HDFw+!vABa51obL5;8NIax{1LhODakAR+5upz@ zF`@ObCw;fjIvfiqD?&$*U*Ea{G8!CU`UuUJ=>m^VfDD%%AJm>WB=+5&d3K}vZ&RM& zdn$NO`1;7yA2@~JYHe(Q;sxlP#2xTi`yI{*!+zwHT4z32E@9R&gL^< zcG!4hcAcJ+Z7wU*D}buln;JQh76e`hef*Rjm-uG1LS_kWZFYzMb}L=M+wJr5-G$>G z$t&*f?g|abDM{bZGuznq@jjt4jnE$RRTi&ru_2B?(PG8omE&gD_pQzRiKx(S=NYm9 zOLA(xI|ARkIkVlt8`IgYJK@nZv(WJ^m7pSFIl<`W%!rP@Wi(h$iVem<0xx4nZG~cu;s_lgo zNf`q7+^?P~pG`y2jbFCzz4T1;>*_J9?`Bn^(6)U@|4rMDiVGL|Y+_L#rWHTJ+Xd1l zEh$^_jRzi-hjfRknVaJl4J~~Z;UkiE;aEY=!d9uYVtGNSIxjzukk8(w{Ej@F(l)J~ zuzTj3#X5n9gmrTCgz7$}eq8Vm?l8Q$W%o;yI!DigXDB0{=REjShobWOw8#<*&qxh}*J{KNWwz zD77B7B?>g`3^02%3jA6Yky0jw zwkJN|&c(SqyqB7b^eMZR$Umdg5f0*8ovL_(l6L{vQQlz4-Ffscons3GLDqF4#FN|kE9&pW(Zj+4x65| z*cInVhxQYEA$nNpCb_h!NUXlo%$kKU}Qi15j{kD!lwQ9 z?DpIMf0H6@WiA=}k^E_VzLH{D@1SDMxHX~$&q?*@$oeV>YsOtv%^Af$?0e!CiKiGJ zlQ|DHatc32v#`zH;CQ(qRXZkn-dMiwdzW5NC@O4w-(l{SYSiq3l$QTST<>OCz42U$ zBc&P^RGUxpW-4)y*-Z-$VXSV8oZ9m?r z|8?Dae{Eee;fRFoc>ycD%6ibKkR>iX4Yq)VfXzBOqj+Y8Ve=gVAHJ$!MS`5FOpp18Bo9UU5z=TRa{JC z?cgUsv*c&=$Cb%>Ag!%sU7>#%DS)1!oYS=hWcv!0)R4VLaH^=d&W`kmHsfuQgfR*N ztyDJpr97k3@4Q;w`BUR~iKNJTF1@g1;rUh_f$7;N%C4v-nhx?={yyQ2koRCdKBQ*@ z-a!QA1-A+xV2x3SjO>kqT~H8iTjCHaR(Skm183PQ1@d*@*(D0~pv0bl{cqoz2a zJAT61KFEXZvnOJUJPD4hNNPkw6+z+574wg*DqG}7%vruA#i#K`V_yo7X3q=q=9F=b ztnY1o>-MbREpM#~G%0eJ9`x!T%jlP!tvn*?;sdoqebkpjVuke~+8}?Ucbqt(bM?1g zKIhH4B}O+$AH$+)-8<7o`#y8lQ1S=wlH$zVAp8m36?;_iBcT&UTyhHw>DT=C|0wH= zXR?MCw?#S5L{+D^I&Yu6Q}ng71q~=_!Rnr1gUs)D*XFjmI}2NQ!J&Ab%`^8%KY6q= zs`P~-lhH)&Ka~CUMbT3H%h&2_i&nA;B~nW}DtnB8hWU8rvV-;9eLt;SSouU1SqDc126TKi zAeNQ}=uF{5u?MBUro5baV+!S?<-YB8SDhJ))lqX_-bsX>{nuS_(4auzTKL z?Y^DfRfYt=qkA~QDxa=>L&f)tk0Hlh)NY>j2k@!3U&nqV>nP4q{`<3Wvx~!8%{k?4 zSn&~i#f)lJ;|YBGyn9463e6?zk+n5oR^xbX_?bb^!F}{y`xLaR(om6}aHs*%a_m?> zAA;D_XNrzpcU}{(48OFraPYe!6Zg*_zO zrm1uAM?RNjET0v;p=x~^M}9**Bv6fJ)Y7rIyC=@uL+8)BB(m8fgW1##H9HSAE3{_E z-^j|rqIuW*B`hfA5rKND8GDJMfLnqa2Mw*P?0PfQ#X0g%Pwa^;cEK8T-N2!g@4;y+ z4uumFj}iym>+U!rRx-9ccQQGnhmNwgX;C-B8Flf_>9@>M zw9@iBwymex5BQ+Oc?(U6_oRgsn1m90R)@i~LyVxa=1oMlm6s$-UG^xl3ykpooFYT` z2O0*)l`|9rFb)wY?@ zEqtG@(a=<6YfWbGYNaL1H@Zthhr+VtPCc02g$}7P;T@7qDG|dS2|Xlpn2kmJDR_Wv z$Ic(%gjl)oO=VO@1rwb|D@Fv)M;&;qDMTz5xgPvge!5|F^T5-MMLV?{vjBCiN((~K z(9tX9ziqO}u5zV4;lK`v1bD?DppZkR1^b9go@=q?@GHpgr^@q+uBb0f;aBjFB2$*| zsyM3B)IY%+MlNG3a~v&N@+5A#-Yp-IP>sngbk|}v3tkDnQ_Qm0X zpSyxfYtBTOnCU?$a-}$sA~JO+S`rIg5tO#9buiA1MXo~R2SCB(BUk+S ztL`4in#hAu3}d^y(|4{)7`9Y$!l!d~z5 zEoDQYNw5<-qu^NKF&Xq;&c8%c1%EF6uh|{j_QD;cKNfz`-gil*Jv8onnR-ZLqoqm) zzgAA34?2djX5kwz@octq&%E}-zVh-mw^-p;^-{c!ZRxNRr*S*c)l*V?1-DQ70 zI;K!M=$x`MBDa+ptEM%+9z3yNm%JlI7eNcNyqC261(`tI3BAX=N;QwpHMn=50Qv&s zk#DgMKE0gIPIq&5v-7(5rn|X-g$;c>uc?0i7SE0Qt_aPSaN}~QdlTF< zXk@|iOXm(%r}aek?hzeHK=^fb@VY6F(dXYGw`=MY-9mXy$OvT*`4$j=MHb#6xr7UR zRnD_>3cMZ`p&LrE#^ zmF_*Wja9#`tLEMs!E#TwK5)lbS?2c3M;OmlUQ@FugnS}f7a0OaD=TegO4KHhJP|Re zyWaL0vI9T$K)?0C4{{nt`A|A_k+t1+vv6Vgk4AD2$iV$5WFHrtn@ppOa-{KJy zmmSMDi2Q^a6Wm3U(swvtc|U}fs-HzYeNedEd&N2FC=$7@SZ|TRtH@vLv%tzMOJkOw zkSB>7ikoaNz1E#}?ylvye#b^rgp-Q8L_ff9`eNOtmb6?qA zRZ@sJvg4MfUey_pufg>QvOI>`}aOX)CZ-+zqTED{g-YLL-3i?)f3Nq| zH!1Ka*5ZZOs<^_C35s{KPF4Q$PAc!1=%{GmH_PKS)|1^+-a**lzwazwe8p5)F_r#C zdAzzI|4LcBRAwV$z4jaC@fsNeWd?^s3;3O9@v8HCAfVB|f0I03pYS zjwVX>D<^s6RQf2juq`?5C+B36$G&r)Y}oC3M2pS1P>KyObS=qgK*u`u=EJJ$JY?(* z&NtlBlJ;(gG0YuHi`x3~XnS^1QxcIH%JzAuR#_$+G8$9#rTK2>d*eYNfBcY4xh4`S znInjD-^Tx6Ip&!)x##sfRVihOZYAHmGpL5%ebWk9N1PhhIcZVb)$X{hD|T?SAl4i^ z`)9p}z_(a^gcUq1W|+mIU5hVVjwo)w9uaHKR_?}c&u${vF?M}EiGD;*u2?YCALA|+}VkjgZ=%Y zlATwpZ}H8!i^%7gFXEfQSI`!~X6v(QwO3tR>dvlSt{?1wQ87m>+o}jsc}ndM*d5hi z5@oOccAmblrK8QLkH%!K-S6wE^lYr(O*^&XvNM}rFVPEXMeZgB{L}Q?r`_=zB;&Eh zI8U4LU1yzi|Dj(b=YX1M%m?Y+Pw;TEudB?Nnkn%Cy`$zR|0HdleGI#@-%@n2w=IQE zbWzc;E)kZVQD^_Hy=7(7Rm7X!Z)MeyeS8;t`sPvxXsM_(<^g6PvRkizvydCJV|p{B z9&k?1fAQwYL5Xg4zTG>0YhLH#y)s7UbyiW(4khi9&-9SKNB<@_@vFPU6;Mfh(;9;1 zc{qIo4@gveROHM&cY_swQG#^T{~3P5q)nx>Xf0D(u<~#y`NACeDWkv8{$_VQkG# z)Tw7>NldRIDVe44k4&GyY~xvVExM)es0~4mGBXeJ{qRi0$Iu?nW7dS^lt1j;gu31v zdjI!rBh1w=p7H%NR^kV1e2iqlWe=NG?V`q^RKT_4e!}YZBDH8L@|zsj`E0+XX*ugE z4(N`jWm24$?9`$6FE903sZvV&L62qJb*5yiaYpVib$-Yh=pOd-HL9nK_;6;iVLj0% zTqBjXsz=v;Wb1GEh4FM{#u_ya$c~P#D1G6qYY$Kf^s=V4GU_uYlxHPxT&jSX8(a5G zb*YYLbiXQlWyGlyF{!#O{bslDKGDlY&Q4djZf(!%;jQ$l*UV~j9cLBe&g7NNwv8>Y z(eq^0tiQ+OKHDNWO2mOG#`O1O=+HlsOGNZ+$#E|*b{&kY@px6plxA)DkD>g*LatRc z6USdCd>(hFOwUG{yf+4d2~<|~Cw_2Tv^yxjeE?_2D3s(7s* zllkz~&STCkkN2upvE-(2#`Tzml6QDSMV8d3VFez3oY_y3hm!krUNMULF=O$@d&CNp zDc7TI%r9MSsr*~SwBtdmJ;CqRoo;!_E@?>rU38~AJ5ioy{{Conr_3YI>4TZ4YR)ga z_2}Ail0Z(7-P()xY&|XJ)GJP8wDo4$UsZ3S&PU=ZogXkP(h+^kXqlttX|$cYryZ5v zZw15fO!G8T!H^t+*pr{l>iyGey_JKM)oy!_TB9=0z}qd_b;k14sc+5cO9Z{W_U*IA z))>C2igS=RbOG&o4TV58Bs*R&)2_VL7ijcb>3)Z(+8{L-Ob9GUG*SL z9DrRCu?Wsfj76OG3f-Bzz~8spd}>Kb&v+@{q;!mVtF|>pf2{V$2G~+tGb-w{IT4in zfl@|bqh(*flV(pgxn`vzzcbm8i?Tk|?a&TvS;nmmif6pfsQBB?(*Eo_Gwyz2pPn4x z5U$aIokxo&mpiLhDQ}mojZ|c3o%of{ejZ)&Qrjx0zK@6wxuCK6>pN7BoNxV??8q0j zZdDJcH_e*!Hg(yH#>LUQv(w{ubHc{E<9F5yBp)PoD5eLCK2ugQqlDdc&l7ETcYV3( z8(>|&@V&G%t6EmPMTe3ddgYo&d6D_&?2|9X4)-VKo%GKi6CGzRU_@b&zlgOR1yJpH zYSf^xsH&3f8!TI4lj$>clRZClpDOE`Q4nuoGR!*e@;@tH*>UjX*>@c6{*3>xJX}~N z&&lbaUyw(Z>I<#?Pfy%dypHn{|FAmtLuq6273U0**#B2t9UG79n2i@jXhv13MY`hZ*bk+R#asT7sAE5rHa1bSqp`!qdRSJXtEZ0L_E*ofsy(0o zH*GBTK&`!3Umg3Qw6Wxr;z8nksVlFJ{ZQK2Sj8WQI`%_pV|R8Is|5S~d342BR2@4a zH2?3~*eEPM4t4DRR!jPOKTj@M>_O^9Vh>>ZN7Ga1oN~V5w|7y~@BEx|uA}j)toEnW z3_Mw%tlkW560x_vx5SS*A*YostzXBddHFL@HT0gn&Y>#heSI%FmF(;G-cX~8yheUH z<-e(6RJ*m*m-s7w!m?T7Ot}>^Zlh{=2`q+visNlKgQptBDn^PjlD)Oxf;- z?6J4bG2)ca$F;6pyu-NujVI%2j+2+(Ha|LpU#}moU)}m=zPEpj67Hy?x5?-{giI4- z&08H-eVSzEQgMepL8>|Bd)fDsWryx1mfbOW90(F=hhY6}p0D~SUXsc^D^KhJyR7w# zI;ARp!f`4p8Oo3L?&Xuq+jb=784>QNRpMpjj>&+<6IuTMBJoSr`yz|-Ro%E5tH$3fmD|*&H?oqXpYQgL@_TS{B*X)kj&*8nOm;?7_-`ab8 zo|pUQdU@-v+B0_7^?Y25$Vpmdmh$VtT}7-+4}ijTgCY)3g+aK zs8YKEFLwQI`;1{elZFv+MQ+_jd#D>D}{vX7G+il%Dspn)etz;BnNv$+jH#%Np>MojLk7Jg#$;{TU_odCli| zadT!}&imR$iMf-WU;mvxu+_IV-_M%zsQ5%U|B{+i zxm&3dv4ry5y4vcyo%$XB#-B2Nca5G`^`;)LzP?d&E$7j4k`f~U0%%jWufx1{{qM}- z4_069-djJ3N^iX71#MzIX{~~JGW1F3tT~*-#S=5<^qSH7u)0sv$=kPjirzkEUruS- z`Lf4$Y1t0ZET2Y4!1K>}A@8@Wyu5why;sBddeJd8uZ$u7JF2w93r}7v%e8Gq>&3s1 zHD!JWf8ShJYE9{Rcs$(}k*)P)S(oND=3HNOY|hxn{y^Q@AI748yM!Mm!cFmIld z6_c71+^uT5jLfNcQLFA#W-m^pz^^~*+vewLdpzgNOgLt*;Q!V5r+18bfSjt?%*C(-cIg3 z%9*^JxABVmq14{kmC2OhcWKq7Z}VJrP5KtsyYr}5@VhU0Z|s5en2bT@xMUWck*#=$ z2Ysli(}t;pHx$^JJ*d{1E0iCf@8Z#Z&i8WFRylWN?#Ib?%ebRP)iPtUP<}UE>{J zHhr%Bz#Gf^&-^{D^1iua=hEykulC;AV|l7~_-@S|%{kZj?YU#~_s!ffXW6b@owe<|mqyTDm8kt3*a0v6`nnZ=nif6r`6>t+Hmr?26Wh)$?npvt&s{n$st;w+&bB-{<0q%_ax^T(pp`^f(RWsOUY??Of8LmpD6zh zZ&K|90#CioZ*xdK`FZWZ60M+qF)Xu-;7oZU z-hH@0Uh6(N*5=T?)6a>MD8# z7j_>>0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb z1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ! z5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^ zNI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndv zAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnw zfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz z0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8< z2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|Drd zBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J- zkbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(T zKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU z0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb z1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ! z5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^ zNI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndv zAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnw zfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz z0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8< z2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|Drd zBp?9^NI(J-kbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J- zkbndvAOQ(TKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|DrdBp?9^NI(J-kbndvAOQ(T iKmrnwfCMBU0SQPz0uqpb1SB8<2}nQ!5|F?jB=Fx)4HX0c literal 0 HcmV?d00001 diff --git a/sw/f4/bin/working-fortran.tu56 b/sw/f4/bin/working-fortran.tu56 new file mode 100644 index 0000000000000000000000000000000000000000..e184747b8a5dffccf437ff68d6b0616ec5bfe200 GIT binary patch literal 155832 zcmeFa378ed*|1$*-KV;{x=+`zqaw^O%s7B7Dk83o0TGQ`6cdw}yc$hhh+EuO&WI== z3gU+QprC*&8ka{onV$eXj1VuCA`- zsi&TLwyGn<{~Z5+hrn**1A?R6CS%U$pB)R+e45eDDDNk76nXyKgzi{Tp60*H2ytq%PHl7FRClNi z$zAGA_vA$L+bRmpmhcX5wSLpP%bTs&$x5?1defc6^*i}(xX!CgX6ef04!)SHg(y&= z8~6p@2E8s4;wJr)7!y7m_7%U5#zwhJadx0;5sx+ZnXR!ATg_4* zR0EB#_v`#dx7N>*!-k|Ltagi%HnQA2rnRbe3r$TJ_}Y6|-yLbyM%w34Y8k4#Nna7~ zaYw|vspYQd8J>5BRc1$+5v}BQImztrJx|>8v~!Z#8a+?Umhg3Aa%!_|t472&^&EYB zoC}8w;X2~G$XDOGn@G1r=g6VbEYshR@^8^FHQH+toTaKvm06>Agi`FF6<^DZ{#WGJ z){DJis*jju9QUEf7gc@>l`}Vr)BU3}=f^q6@$X31#rJskdt<$Cyu)H(viEMoLbOwr zNlreXn&bmOqCreDxu{;&g}G>vTOAbyXUNvURdKmEK-r%Cua9lc5#mts488mB9ed=r z@?BA!{r7VDfBHHX-jnsk1XGYEoUEGMD%#x`Hbgl$=M6|y;wANRimHL8ByujNz@_zLe z_r`EX^p5+Ew>u2d(l!RR-?v2@gEFp#Nts!sout;y$4yongIuyzCTgp!_BRI4tLNqJ z&`Y+28z|deKhu-tJLF4QVCEEWBjH@qfaB@^s@79Ga#Hgq?ygEB7stFHdwDe1INo{yj zwwjc;$9uw@5W(SMxEb$_-xm|)W2Sghh}rG$VtgH_UL(9y?g+PY4vqg3y%<=CtE7r} z%BJpxW~SF9W=13Z%4Dr-tM*gd!mZR}x!&T>jVhAO?iQf3PPbr$to7FEmU4k^VsxI8 zP;Y9s;CuY}I`}9aU~<8JZdT88JD4y0yG&Uk^dNm&=9sW&P$~M-{yY2w+$LZ@Mn5c{ zQsd;4>Jj;bder|)KISiykNb^bWzyi+hn2FO%0;(`uS`Zf=sz6v4~*Ds{v=Pkfwpvjrd^1E<`{1!O93m$Be1uB68ey%IxZ``lNM)?i> zwmf=L3)z(2V78fMURBal2(PagFZT2L_#)e2zQ`Ie+T=t|56(One&IKdbKn3Z<=;Po zGz(9NyY|w7efQzXDBASHaeI7MAb^=KHkhIDdy#Ms^H-};@w;-Bs)*ll1Nw2CSpa>! zD+r+VZG<#7Je>I@B3zJvLk{-M-Lb`-|Na;kjH7mi(%$WFIKN5!{_(3CbFmomBRWPQ z=~3i%Q#ZS}_&2#7nK``V6LXVW3{GAYb&9TWYN^@lCXm-MU)tkk(?}lqY*N?;x5u|y zS}(KI_=qT!6U;N_Jk#CznQ4+D5zZRZM?7r=v0KdqlBc45DUJt-JxEbRijSjQlJg4XKxV9) z{qgc9|GuOmzR?*G2g!1CQ*=XgMzTcTFDeyvaPN-Sf)(|0Cm3}j<3}gs%-4}E)%MQ; z74!qxYaTvWm1<%dxtn{FA&Yv|nGzcO4nQS45qXG3Ii4xtykoc9FlAMO-hGWt6`zdL&#F4Pth$ zi;vFM$H!#v2#1A1HvFDymM3F_yY;kSj4qKy$p!9Jw5ODiyw~;fZ;t*ZFhF+=d6lYZ-n6Jx zI*E-dktM3D_dfOe4IJELJ&hdCqql5s-w-}m?gG-C!{LGDyM7&QCGI|_G01?6_i>JO z?sozm>v57fGCjJhUxmMn&IvoFHS8uWzxoSNloYEn_vfOLp0_n#t2ZQPhsNy)yt{gj z>hAQ^YueUfP}GUqSDI4r<+|u#+1(oy8T#Wt(#3v3Vz@@-0)(cq&ax>7q_`4^|z4nSEEOf5qr%fEwg%%e}xtAS1Foxh?(N1follD^RuHv_RCv>6EqOAzxKM*%lS2Gf+ESz;}r_G(6Y|oG4&4>^&c~iT>yK-v)s{GK<^e>b>{; z)Bn5cP2Q(L^UN9PN1q0*-Ja>(xG0#G5oxY-gOfxqjkEISnNI8A&+&PpFc|IjkIoZ= zqVpJypL#!W=eVtdQ^X0GI2e^NoaO2~b5>lK8S9+j#KClTkT_lD+}3WPyVTv!jf2yi z#g64J{{Fbgb3`GW&Y5DSGnO!1ALlvFAX3_=`$dH}Ex5}&)3HzX?Qrk?;HQM_^KdcR zn-L5W<>}j@;vt>}@WQ^kTRg$jS@JCCi4fYE;LQx~aFM-u8X=zN=>vCJT4Ewz>zgXB|I!XKy>X^?YoTHX`l8=iha7B-iWVjE9Oy?icriE5rs2O{>}J)+6W_l$iSD-`jI zgF}*BY@Z(#+02rloS=QWL$u5+`@S~!iUW8W;hD5eV|c&p`&>uy^qgLZbeX6|*<7`N z@-~F6R6X2nlc|V@Kng12I=5ET$U1n}YAE__eR2{cdnkRkU+vx=?+O-cVGxWnHr zxA|M)dbh}ys+rpC7x>>rmKzqzQ9^E#j#tj?_>JUQ=I28p7u)xuhpG!hXku-&+lYLFOmkli6JMIIn|v!?=DU9BIzKE37v6!n`#h&yPYXN>qbek8DzDY%Oc4 z<#=5qswqdfv&_SK50Y3;PbGeroa$R{eh96r(sgDBaj$=$)=OrY2XvmV-=3zsgLE@V zx78g8fAX15NIy@LudER*y_UX^TZEl&Hbb55bK=@-Gw(0@yKpOYsx;q5o2bLL(KoVy zx#DZ;ksw!WB;{9}lgMp@d{EcOK=MA{HYoW{CLqcn45K z0*y{`Gpf)zO}6qxra}}tOWcCYpo|y%-03IF9g|t@9`8QlHitq~IwPEnTLmWO<6_dB z!l>H!Y=U8#QQj{_71Sp|pTWfAT`Q;CMmjnFcWRh9L;VUEs_u?JBisr%sX0S0&;#`ZEA>qBVOlCnO`8P%;oBl_-b{LYMW_F zHkeoxWxn<2`JT8tk&Fgmh9qv1b8cpIY5MUrX-=;?4Zsdpmy* z-b?R=VtW4WeVD%oAE);+3ulfpua#_)&ns!}P@~isHBJF3evR~Z6Ik#pynIF6TsDC5 z=;x$FL)8k-X|ApXf2x7tZq8l)PToj)tXysKbYVoi6-aIYa+{@v?sB~}`Og1VZlXsT zquw699bf8Jct_X}H5!(*gx5YOlQT_8035#acbh+ill}YRWqv_sxnE~~4yTgyp9ec_ zPv*!yaPQ>|Imd8nP79w9Dy=E;UX3IY?Q%a2TF6g>mYl6PTgzwk&hXP<2k~Ffj_q*j zv&@5p{;EHxUtiV8_#t6cFS9D^@B39ITm1du+;Y)ouFyHIn;7L~X0iU7?>0vCb-3PN zo~(1$y6gPi3^AoetF#?{@Jrmis z5J_1;#hSzlDZLBj=Z>&-o4e>p;2ZN|9eS_HY^R6SB$>|Nwd5feqVnUPs6 z*SU!E<`OR_h3|@aXuwLHUy%DSBOI$8$~hj=H5xAO_9zE;{FG`6yU9vb=~cSp)9c0N z89hXnn;f!oUYGq8Iy5LEx}-j&yfwTq<#*r)&`u4dPb!kua!N3fP>HHYO5nw(M5oA% zJIyI{7rH0P)8I*#x@S5qf>TuvdB}1{VK6_+1Y^C0z}f0%GjIoII{RY&8f{FPBa_?? zX8bi88C51DqZNrOhN_{=gkCaKD$cL{uVp*N-s(DC|ad5gGNejMDZ7clhfY+;W|bUclI19bIS_Z4*$cPp(oQ$3M& z>cS1laq4J!3^JXqeQmfPsEURe2aU!9-n|~WjvpPRaZx;1=aP@fxrTD8ITu(c$2QmB zI5$RloZSbj!r&aIFenU8aL;n>y)gJ)I3aE)4^$hGy#u$IIzPOa??=KFO?H>LKXrtA zU}QBULVTf`qaoQY*Qj~G;xlDO^u2n0@R`oJtDs)1+#6`~_2Cz4J=&AiL2j>Hegiy8 z&Rq(;a_+M9D&+aeD$jn=)4WXWXChynXZ}KH6?4X4bz#z6eFi766pUO7Kaj_)rE;mV zZ}MN-{FjrjkU4*7ykERTw~HI8(T&mPV5&XVqSgHY<~C+^bY1u^_Yv_I(Adw_=PoBW zupYhtZrW23kBkdKJ0`CWck_OIw9`~1&zf6JUwTi7jvhaGEqcw{WJh^Tcr{n3Anh(R zIj>X74IJ%F*K>ns^?t~;PwOVx+onkAr*MVeQ#Q(;%BJ{G_mqDU4LsSDuj$)J*_$`@ znL4y6x5=KeH8O5%d8J?KmM7QBD@0pUk}37CmaSwfbOmF*{p2h&UDt-po?@f75x!(@ zbc{NNx#Q?G&)RUVH#fzLjcTL2?@cvd@9}s0pYdiHa6d;Lghr&+Toauho=f=moLeJH zCvJsHJTWZux_H7VU|LQO`dNIB;Z4#}4(0wCU43gTT9TG_R2|_pTQi%#f_|vAC%yaW zhmOFg6XV@Ntz-IqBW*uOwI;rZFD@4yu|&1CIk_V^V?UOt`pC`Z+L7muEa$=jJfW`(-xBNS>5ggs#cCccyIi&=U29TQnZ=Q<&0^1T!^f%P-1_i1_c-qs z_{T5xW#MJv>WG<1A0dAc{yf~nz0mvuNR)*~s1?j8<>(oH>B1dgJ+lyiN2A4y^{ru( zYq7-@CxS4WbQ3MHqq>p4zMDP>{E^JX4QQR}Wmj5pFcy_#)iimix-6`fSW-C4TwBLN zvs9OPUA^aYd+$wjKV4M^X1eDX4MXH{s=M67Oxgo3dlz5q40Fj2*$n$Zv+z)PE4Vuo zzH^(4oRQYXOKWpI{6sF=8n$*Pfg7%JWu7Lj4P3OCc4oWCi300{Ts`EGUxoqK**;1x3%<5Ed~eYURy*yDs=E z-&tCF9QqwEnH;Q3Ln^5elY?cvt0vVRo09P5Vz+DvExbc_a`26v)A{ubEFG+JwYY#; z@t5u^a7{w=WEMPx9xgMZ^&!|sTdDVg{HQ#{8=)J+_kxCSjIIysz=T@tv^AW8pQkMW zr530?d;97qz!ORn?t;Iy*0LM1?^v9Y;%M;sExkidRWIx9^v>Z({CV575Zl6aNk{cz zI3JDcRiTiTNhj#ublqAW6m>+rl+rsT*h-_L;d%d{E>X=g0}v_;txeNC-pPqSco%y2ngcV<<*%issn}jA zF&EuQ`NfR!*6@P%Y9-ObkUx8==|NldAaA?bx4dbkS}-2}h;6mCTV)=HE)EV`aW02a zwDvi@AF#ycVxJm?BU`(J!?w`swor<;P(+*8VA|hWJ_cs@hME*ZZ5(NFyq?cmCYJgL zInaEg2PUvYL#ht`8;RRty zc7JA(&e8KxRlGi&7>#Gt=Q1eoL?31|3xUhRCW+M)i+D(Gxu{54tg8nD>!2xjQoC02 zVf84OX|?XwdSkKuK5(rYGrOHNCYdL&2-Jq%peIj6-PDs%vTmuIXC=PEjFsqw811Uy z0j~m)roSHxDYgAz2>`vExA1`ZtGx*`=$!toHtdamS$Bi>4%cTS8))y7@L5e^ zIbUq>H+V;J4U#w1ba@^zW6TTsNI6}-AwMy2neO{wZdP{l-v}%fxmtFU3)BL)QS{;3 z0JpS2y+OP^=ymAejOYmJu|OZ;T5H7-{tVtqc{q4~xYvh1KU~&9@n=Lw%G>0T>L@HY zN2y$3``)HJ154y9W;Z?tIm#R83^&W+2?j=E;v<~9ygEW{&<&s9H!)WFpeGobmfRH1 z(sT4;ZD%n{5gCi-5xoXmwLKf@jRwxvX^mR@6}lej7K<|J>x2Wh_b2M!+Wiw;(+BAC zB{RWPxPm%(S%-8V=ql2dxR=tq1Cw5|*7uUbWDSzw#bI@5d%nzAJ4}UsKv#zq`XIu& z$li;RgVdT}aCne6UsvdsUNv>tL#{okUu));-OOz*RRuVDsJ9u4VKLO|yCrtiu1MsE zLKV6~PrG_+A`#D456J1>R{zM%rQTp~D-y>YwDvT(;tC*lRoE0YLV-ImN(Y*HpgS-r zWW@DES$(;9Tt3F=c_MmU<&g4UQE#cqdw&5u^F!M5z3-|DYI?8| zjP+V71>~X{t_OIRgeA~8+Df^Dqf5+K@4n>s&L!qD!uHwT^JiysLU;+1%Z6kR__rGy z{w!1IS-QC^`~=EZ5vyDi-oK|e=iti0g{3*BX#Q8D^k)hgx){ zy=R9Z{xpA6Qq*7tZ-www#JtoAh<>i0gwuGCp8XSW7HQv>@ofA1LEY9{jzyvqvOnW8 z`WuqP=lU64Z)(g+y+SWfIl)QfaS?R%4^Yu^D3l}rK!10HzrD!vLB1o4{nm`FgZwMu zn|jJG_kQ&bRUW2pN7;3erS`348&wAysb@6SQ)HD;Rfn6s3A#Brd7u6y z7y`!@*cN~PpM#v`MwTrf{(p%K02Epp8WDfOmwA1! zy*HUrcnoAkm9bjH-!nV^DHK6-`3dvnuNgIVE*!;-X1VrshVt41xz^~-@^jw9SjSqU z#91UEJ-yJ<+5At26d-UE=W?RRabWX4K3*{boq}}eV z@U;W5i{_ZC+H&2!m&>-i(yr)Gc}DUYR=jt}?`w6a-0ANCBX)x?H-L$Uf)B59T8efd z9H!;gMj)|131jk?+vFEqHElHdcs?xw<3jACi|&Pkw|AbivhXh zu4G7Z0k+q{@I!Ydw;KdXZD#5Y)Q_IGSjKZlYRjCyB%SUPD%dSWsRgcS; z{XRBqo|dlw$LIB<#7sqUoXV_%Pfhf6Xf4*8)D`q$3t6r9$m_yOWHDpC*ey}jDNk31 zS7Eg(VHE5J_8WAGSMAL}*M40%h%btXuVxnbl$h~BWwM4|Du%zZUWYbR43*o2EqFIj z+(dpQ$X!#Sv%KAuF-7eGk2k4)lzy9hL!%q^8_iWFhmNd;*M!a3djEY8Rf>Cpk>N+# zxuPDl>mZg#$UF|KqfOOlVVxqLu)e!MVK8P87c(Id@>cv|u)>E`GeVzHhtre)`amGKAhjNqm0 z$lzsrhSTF|@tk;so~sv$H2-+6SxOyV4o2{9hN_HKI3uzz>#6a(YGFJ-oBuxlep);O z%h=TL<#-yfTPWu155%PGRCjS)8PC_#vr~h~X&EEp>D~v~O8u%HVOB)1W^G;UVMkU| zYPGTQy{7*)W3!$SY47OPu+LQUl=_dR>2}F#Mz|dx)$YzTMkC>F$6~N8m0}crevqm`TfK1`D$zvm>y1&Il*POEU|z^D=YOUYg+o{cuEflCv~BQ_c(K zhcDBM`5u^K3hD3Tz4EBa3_v&9WG>A3SkB75zTWfM_M!<2^>_XQ(Livw%6Bsz{f=(m z;8uZmfH=U9oCBi67*)rK1G9aEjW0EaIZ=Eh4qgX3#|2$QPji@boyhUcVQvd%xl&`l zywyEU7KoAr4mIx^fW6DY{C|%BZ$W@gPY;R3c;9bd4O1~NeXyY)p7y=}zvJE%HX#8w zVnO!M*wn+54%Ky{7S5~2eE`1i_PAwO4o@Y-O1%d?bpdv*=BZsPK#IDbx#?5AT<%0u zFbRq5j0k_wbPjLB{Jn3!en93!ZO{>Ig;s3wx4N4J-tzt?_Z$CfG!7MTi-ifk;z++( zi`?!0C&~HoRHU4B{#rC-7r~(n2^!3oQIo$TY#t4ztbypd*F;B2EFf4~9}3>UUVMkX zI2fucunpycw$c|@1r@s53=LlO@6>DkFa0O{vHln%l=UqYK4X*FuL*5MQBsbcqAeB& z{NUlpS`pI%{nSUgMLGvI%9r3-N5nrx6F$UzhL>l(^~^FI#5~ zo5@%GpE@Twle3i~>&W0vvj&LW3BPcc8I-Arf1mO%Ij@PHh6|=Azyg0ibm+u-mG_+7TA99F_zeY(X@U==?nFS@O|#<iLcd7c__fk{pBuj#+h8utiMxG;#OIiwr+%spW~4#e6mKxUkSab~X5!_Z zCkACYh+?>cpL#j}6}=S62rLlI)Lp6>dZLt2CwEbUxAbSh2Ddpnivrako73V)@cgn` z%mS<#W$=uad(XMGaIAJ@1dNRR@Q4WfRw>pXB_Rjevzpvmc~-S0T|^ zT2O~3s6q#5dY?f*S_60Zi5`$V<9SIr-0xCGNHtvFRAqfiRzM%n56hn?ukbw8dzI@X z)`#p4UzNLfV~<7Hn5Sa9v0BzwX|DWwP z1v3U^o?z6EMR%Hk2bhSRc^G)p%g3XcqY0f=MLY=|Si@fLkXrhDG@7+@q9(VRca>&O z#(ItHPSZWEcctl?Qty$aNFtUu+DZGc_1iXN87yo1 znQdsCmYer^yEU_wysM$amfG4hS3+%`#g?24{v3TE-V#rWPoM$+s{g0UF(gG;CW`5!b^JD)i2G^E4?K8;wIBiyoia zG~vK)yPec=mwK4XMKu-#OqGx(Vzk&{Mk~b;JnMuUuu&BODAGJ|!_FAVJ#YaIK zV9(WiOV`Sef*Q_OY5l9DFGF8mEz3ewb$b#Y=>sz5#pY4pP?4dM7vmbBgAI3ubY|Q ztem-%+Gc^~t}wedW=ixbX>2*xkAk&RTxa23Q<9&M=G&r^vGsVIm)#+2h zo3u^){(p+KHMJ{lwuQLc>(5PpbEVEj&xLj7)o6$*G=g;xzrc^eu6TK$x>Ur=RU!6< z4sw~Qa0|INi4J(i4F;E&qZhcIdxMnmW!Zr*Cji`!>Qlr z`f%o;)o7Xv@ImjTcHsTBME9a^wvfYN+&72cMLBBut-Z%hNd8x06M5Ck#c#&T;=jf< z-WSM1Klhr87vqZPH`%kjW5Eu*$y1OPB?SuqbTqrAXfFXPCL|X5(q2Qblo#aOIBDR#qaFaS5 zt<6hdP!o_9qAPIiN*P|#nbN$ZjB-7+|@U|T26+pM}_m=_b z58`?d`2^(CnQLd&$rEV9K4muRs;o^hFkP)LS@xGk=nuhwk-`(F0gD=HRhg`$|F+xJ zl*v)56R;phG@_k}{ahX8y{(%vP2qO$Rcz3`&~ufU#;Dw!pY&4JYSX|hw48AvL@#f= zZj83$2fxzmh5h1l@AJUE`82vq*M)goN3S&Py!at-s3v?|o|Ro_PR}keCcDTa*?MzO zwvKZ(&x=hn);?56z0^u_9E}ZT4}Mkk;UD!%C`&JIbUGqBslGJUm5qjjiDtT z^>z(HOZo(zlKLD7)Zy`j#b5j~b6^JjkP>L?Hz^VShEo2!<1Dmj){@x?>*{FMBL-+o zzX*nz?xL9~k!Q0Kro?oC&X>w&rkk|sGQ2A$&i2mFOcyYtl(-(mm8A4NG$m@hnPg_9 zD_FWfD{h8b*e|=lW86%x<#>o1JZo$(u7Wz;YRZi5m!FwZIHuLcYWDj^g+QY(EfZp0 zvP!*1sXsGTLw>7S?+P zr8QVh(l*wI{@%8YGdZkiMQIH?n}C|w7T#*QG9F*hHkD1$g<3a7`)aM?rs!t;Op3t2 z9`u1t`=;)SpG+6oMOE>P7M*1h`EPHYMAV+$)Ix0?gRHoRpwn+7vF+eXxy zo?<{)D6Y!vHeJFF;jy8W^=9J*fVdZaD=VULP|faA3oNJ^)=H_IddE2zok$sZ?aFqK=YD@+YQ@04%%Z2Y5T>KO2*1^!QoGw zCuQqcDJOo5{OnE!GLBhkG0^d)6MsOQWbAyYpHv=g+8K6sJa~z5$&*MQrLo6%pF|nU zdxwWR8CFea)RS_x{$qBN_pQD(+t%!e_K%_U@PO;p<8IE+Io+@t`~q*j-fqsdQtd(& zB#YEt)NE*cM|es09()P%zo}NUo^_FQ=V;e=J(t$Fs?4~osCxrGd1`hJGj&lsMR$xn zc^>syZO%zNtOEPRf77?hc5y~!ur^zp;~RSacn)^;Nq9Fbq6G`(gjht);_13Mt)HXA zcs5_?*eCp2HZX5y_oV_$fPy_1yES-zu3$asP$*Olw5fkmD{p5kJJ5r%#4MDxYLTqN zQdF-Vk8i;nc{TU;;TpBrlX&3SG?pK;$HQWiSf_7;*4!Kl@1}489xdmmzcz&S z3sydcLFQW>;5T=dX$V}uN*;zMRU=B2h4j*k>NV!S`-5x47peO&-P5z7NrW1smxB9Q ziJF%m9@W=|*M!gOtHUruCr`WsI&4r=WBb~LwN>bv7_B4L1`xGB6|?3Sm2-KVR;;c0@^T27Ak z-er2b7rH09omlVpK?;EuXuWezrMWb`1pfUz;4sdt3nqbIwA2igof7L4m2+DlISgdA z0kLYJEG70Hpw$QL>;qq<;iYom)QV&veY+5C;6O1@{X||Y`k^E2uLh_qdGCmPehY(* z>H7ilL^V5zT+NE6_jRI%MAomWB0h%v8t_D@M<$$2Oe?>_jQGn!ZGvvYK!|d7J1j z9XOB`x|Lc;nm#F4(}!_~?m`|zzG#%mceDNpe()d3lU2D~7d;w0?e8x>0!Dqs3Rlqs z&;em{z6ihlfzaZY_5A_4Q}Rhc0e0H~($-yw^U_cO{BJ+?hw%RBS^2E@gno{*Px7q# zy?hQlJ3CWv`nmn!=r6R|CUa#}51&1k8eA-jl71=Xe5CuMPw$6}G!Xbs*8>Ut0erqc zSRnhW{*2iGNui(YhgM_~p8J!jV?Xy|alh*4*}L^ezd!X#x4!oO%3*gMahxmF4)d@$ zm>D0OW3P|Of5g|SGP&S;ZNU;`)G}7l%rX~*c|E}t zJ$SE{02whHjE>-XILg7{)jS>xGn3m{bGZP71p_ z-xjD{)NPY0z<@`L3$AQ&cO#YGP;ssh&!-~7{spjG<^0IB3uU`$CD76v1Jqk)Q&>Vsc zt{CN{Wu`cfn$E-{v;gnoTvEVu6*eenU~m-YtN5LiQQl#Uh%d;8eO7{pS!4A%Y1_#E zpjXf|sCD|c_Km3DU-#KUZmSCUuQ(nfbWlnaAS(68|aC8X2y-udS6++%*M#}y4A{j%{LY*wY0hb zuhMO#K%)0*OkZ!4vJkkMo^Ha@*Gdhi)j4A+eU-U0g{zIZAzW>qVy=25Q)?cj-SCWz zXN!kk(n+;JS7Ap?VM^y8g<7|f^}w5zt9UwJ1Le1KKx?cXRmjn;@f90r{-&#f%dth_ zlS!F&o}I-iTw9N7>hUqYBG<5H*Uk#tp)cF1eF0E6m0xhm!=c-&%PJP$w^6)}&L%747qAE-; zwFk+1lQ~UoF#WL994RkjEG`CL7Y89ckj0OO!IPq-(OpNq9@eXZbJ4tnwAcFAwNel3 zggUef+lRAg%?j*bcm(;DA~up{Rge{T1F7H2<%EU6W6p2I+6uc0WfeIVn(}ZJ@Y))D zjaS;Y`VZkrL7pC0#E+BaB-RvcMSI(yInPqqtvuD5mf-?d1U=K)@VC_WPofE(w8iEL zjI-mZ^%HtCyvph3VReRiOr32e)3))toO!Pj{l^ugruJyWk0jr>c(Rark#EYwR;)=l zl9h-J;p^IJ8kZ-t;r=G`uAkq}8zn3cusP+THmiQ_ZK9vQ+0L1+eVY?@6+uOOGFZJD z|DW5a$?Rx=Jb|9KGs+ruB9w0cl&@WQJf7d>j3Ikep}%Pt)`kPTHMEiW)8CQGcY>AN zyv`tH`N;L67rKdY{yKkdrpdih^)!{dWHyvL?37ao%xsybbG=14 z$;nug2ht~}sY)p8Y2tLx{%mTyo_dn*&rTfgvzLke`u)JppEhUh7AVVS6v#fZ!27cv zfTh>=_G#QLpK^-$Tn+^yFL<`B+vMr$1$h#-E<0Pc5Vkj8kXI(BV`~{_-j6=kHqSiY zV#oHSP>b#On)iV#DZpwxfK`A+iS{oIPs66C{nI&5gu}^0Y#p<`lhj9fpHlYMKy!LF z5V$GT<6jRBd;#~g8ZG`U!nV}v^Dk!iyNbBjEnqCHghJ!Z?_1ygi^V7Sc-YnQim;0wmpVD^-~*Aq z;6cOkhcC_`{F0iRxg%%`hkX+xp(5Kn)^X1K2CKub;&bD3vSo33wlX<~=Y6^T{k;|a zcSRtQuk};%aoW`6|5f(E#$d7NeLX=*ece7<~1uq$$A@Y@>$_2CmcESepFmNTMk#kvd)J_$NUceA!n9)^C>HVH{3` z2bc(CEd5*=3>vLyXmu2)xz*;l=;f2&=6wXGSc;f3}aZvQdc~qxV@Q`Y@;Wf#mZkIPfyRDR(Z++}(nL+aVaJmZTOlP#0^X$3+$2|}K)76A*%xJzJz}^h!r8_S$ zt2>44pJLyf?o>MYQ0R=vWbltU5Bo=V=OxFMDuWZjD!aC)FtE9fa+bmW-_Cfb!&kUn zI0SeK)kV)SzW@RRvHjd4#>gD;m8tw=Wv)ur>*H_3%w#zxt+c1zLU@zW%!UtW?XtSq zKUS8j<1N)zSK%FhO?YkC(O+LS6uAr8ptq|EWHBxZqTy4o3OBvV!rchpp zrxE@T@$rIYJ9s$J-!#_FgO&<9=VYLRuBC_jj$HQTpvGI^yzSifqu70K|Gj%*au4I^ zUfOQ$0(SJ+)c~X7#mp%y+z*lL0-(4U?=$Ne^P%`Nd@=qfu1Kb_PH8D#%7o2qxePcj z)YFJr&Kzpj4G8f{G+SFQ<)Qd1l~K*{!s_bQ1W!h50y`ex!g__5aC-d&`R`LSc#E|4 zK3D_g+%Nu=l|$|0Z^SqB?re+k$6JBeni61JxJnedZA{Gk!J& z$Y{4PbDr3@TK;%4DV*>y1I zIm5iOy)$Khaxt0J=`JGyyY4{D ztXQ0}~2<>g6%+t$qXmU!>!iE1{jpG`g!Y+^0e@`JEcg|oOWCPs`0EOx*iA=?QLtLyFck{UF<6R zO6u1fKJCf9Gh`7nWIdz35a`+V+H}?fufTnq-Yqn5)6bRQgTx}a8VD9Le>KVd@xrS0 zKZqPO-1wTHpYI#Jt1Hbcv)T;D(p+UW+C7Y!wX4B6`|h)7a{M7&t;nwO?eBwVVD_Z! zQ>?0cG+O14j>7EH=ppj!i>Hed&x;mD6XN~D3DMu8ug%%?{M@))d=vdLwmu|Fll|q; zv>kbmmcHz**DY_S2IFn(n=z_>1-DQPM`!K1Xbah&Ptf1QyghxeJv}K;+LalauynryuSi?foxpC72if?k!DEJ zg^8t@?4Qh7m>kribDJD=MdQJ!BezcEww6_5HeB+3&ZX3+7~8Go3AU$iOVY5d1LKUG za>)ho%Pr#a$J!-H&|%&ZkAz6W}ve=2tP&>%-5y z9%x{D@a!esp*AJNf2uDaUSLPIt4r!wOLaW{9&yB#0=u7 zDc6J18(BWA8@v0ijAjQrkwjPnhW-4<{sT*Zmu(|!-s$O1lzu*?KN46?Sr2NxOxHsn z-lShkSXX2{2kI$_y(_7uZFzSnM~SkUHS0HVF#57bq8^lAz%ESX#M*k;dOqU4tn<9! z!R*Oc!dIWNzvLsptQ=e?C2(Sup4GyTx-|8ODUlzLrY-`?cqWvna#pdGkn=iX3$eI& zRaN9vhL?rSDbH&bfI;j7@=uOWvFYtFGg1!%``Y?B^Z;i07XPFF02+GTi8S4?j7^~Y zMr!&z*8LZQ^Vz+o6F6DLd~9Qv5PN?3V(=7W*7mC9jk|x(8+T9J--CXzyzwjW#s{kx zNtM=|+II!Y3-KJV^kQC0FJ`f8^)Fcy+zBkG0*kC>iXDmn-NSSRgP+oE#m3Zs;NQ*e z|LpI7Y%~8qyCwfl?HlpL$k`0?`;=M_5q2hkRdrgzFCm4CKDg_*w?U3fkdcv_aa>q! zk!ddukIF>GZOIoIx4j#QZkd*rI~QRXxRJ~tr=$-;L`OIvJV${zCWyo_S&D&MFMtxo z4jVV8YW!nFS&W(^rOyST>lMrW#awj8Jqt8>s7r?_^CXT1{ z0#O9VHXse%9$(L{-Zn0W3>>GS@}vUq5*sI=*LR9j(r`g?x0vf9zqoH8T+VfWg}C#o z{~)qSS}*lz8lqnQQ-sobsR?OlfFu0LqMviBJVChNz3VXo&}6*EmsUadD7y>U*5N^~ z-W3nHk9Zj|jxt)RGo4}Xv;K#B(>)f>5&gkcUdaV%Xg;B(X^1`JGV!92WYc}fT$6nlgsdhYD`0XpA)e~DaXh!&%G{z|8sckFl!o}09pCI*$`f6kvt40#gbRA6A<_khry zN2MXs1$_x+ZMvj?8X{eCQW_#%a#|W9U2+!isd5mB*=csPYY9N1_zj_Z2zlanj^mw` zIoWZ~?8Rq;n_<+!f;PQarV?xWokF$eM2#r-U z-A=(0XNL7oq1`I8To1(a<$$z|3GreXL-h&-yI_gtX-FrlNN-Ea$hFe$ zK$735w4c+5&};s8a*&e)9s^mYG>B0h;vKO^ev+0Eu*XWC{+08;Hx>DIARQ}rR2nW| z&7PCiAK!J?$vog`HdJbrhE8_M#Qte$B>2_A&DZOUq?20#p)SC7C&Z{U)Yf!&`%^=D zTOO8%=tZ{=p|ls}v1y22bbsQ0kV2+od?HwDTcUzfShZ~PD~*4Kn~V*0ihs`jqlcj$ z66)84df8BL9-+f+NdKPDKkieS@7E&B767-%>KNIZY`QyBUK$Lc1gm8%v^S39-P5E; zNl*m0!L3J^T8I73?on9dR=WoxlkJIir_Yt_J^O#-KBbP>KYYU+nnL66FHP|sF8={V z@8%Dg!ig}T|E~|w{QD#S?GG^(-{^rPkG^h`l2(#;bHF9#H3*r@bx=AG>Gs1lZd%+ylS| zyHBazRoi-@bVmBIyfu7C-x8ka^y1wU_=*^>z?-EHLj&-Q zKA-#6g{c&*M>AK^LmAbLpj6!?43p_Wgv zqUc|={b8zetQE7|S^kHqH8erA!YcGGYuj+*gU|k7h4{{Q!K0uno>2$OqgnHF3_IG+ z)7Pc_crBqL(NiCVKI3R~E61>E>H2U+TFN}#o?JR`_GYiILfM-fuVJUzVd9#omL6WI zuVxpagUPKavbo`N!#+7p5i64Me=SAh#_BWnSGfmY#Iw;6ohd8ixp*D)W?#@#+0W}@ z{?qC(bxkD2aCv|@P^>mv%q%eoFIcN7ZwBr)2X9KT>Qw1bK?nY;wmKxfVIHx z4tW~uG`8E3k!+0i?Nd5jwiPj(uQr%l+(G6^W^i_PqlUTYQ15(vlO9uJbi_VGb|0Qb z`IvVC9`_^grM)x_KL(5|d1L*I@dlJ9K>JPzPxc;*EREVnM<0u(GagQ0SIg<_>U9DC zr8JN|0#5>lZ$sTqAjFfm@9RDIdRlKE{u|7V)C~UhE5|i*KVfI!kG0i_ey6^ZmxRlM z@8t5-Z{TWpm@in#G7v9}OUZ9JY3%OC>-4U0CvEwXUBK6>FNt-6`$cnXuT@@IxYNAj zt~MW<7scC|{_ZGY_v;)Xu1_i9fvUY?t!RR!h~3wY0;&-CU@WQtv{pRSM=!a4|GP;Wy-9_w^xL7Wtrxr)Ti{OWj_6og& z_#I0*YpGWazKXql>Q35Q!m~2gAFUhsIUf4m%?t6_*^MV(B|79$x*PMteVH#%GSX!K7Zb$hzdxl@udzJ!FK%SA~fca=UPvwTrf!&!f!mybK)n`^Sj8z@5*^FXTq$O@D(A# z<;i7??WdzZgp1*OcdDmVM^y~gZPYpTSUUp9^iey5op`NIVwNw*Z`)cTz2pdNbR8A` zq$xFc+S?geDx6E-**9$|e}>-4SMRX{^%abjr)}8IDDHcViDE1e#gxE~foa;E>|A{= zJhQ+iQou}*|3@i1>pv6>_l8Fg1=g4F5q31Wl$8p-yj(DxcLm<#DIYSN|4_OP+-?Ma zM=>TW-LU-7!}Qier0ary`(U*ocvBA#rZAsPVs%%JP-iv8`zY8LbWtA#cKx9Zcjam| z{x*Ct*WrxK1;IzrO473;pWKGC7h^T+UH8bIW&s}R3q0#pJv>+$?FI(Bup4Y(r7K=U z#31da-u)X1<-L!t=Kn+OKBZa=pzljqq4XQ`Hz?se(}uNx76Nwex|eo!<9;zSPbnCd z-y^RSkIGVf0M0gNvA4(1%&*LO=0DPJD&j}oO4VIWfYKJl?Bh;()&kufo5GNA7Cd32 zye*vI-WJ-s{lBZX^li*$L&E;v1aAVV?Z3HX6f10kw(*?cajdPoO-6nlybWJ*TWBeN zMO>9+fa-ER$1UJWYE~}hk~y9tc24v)o{D@Qy~!?6Km06L{T=l2>*y8aM`TEKj!hMa zWKMuRDyU9N*@7)zxR<4h*xB$xol;+|-&3ncu};|fKCVy24&KC!+bFaCY)*V4{HAbr zFgv&=I>q~`H=BLZN;vKOGn;P;;dxKx%a@s{SzS%)tUOhnnz7$D;hAf>?GNF$TLazt zu#LPhv~+wn_n-1r9j*SUY9#;hIuDF}(M=eeT>TXU?2C^(g0b zs9r1?oRng&2L)M|8aHqnIeTG0-`?r$9BOY2#XF_=DJ^GZ^NKml+muxJ%Q7rMtQ?q7 zY)dPkhDBB>ng@3h@lNKodxI>N9fvZXZ3!-^tF51Zx~cFxS4bQ5g}upMt3+n8&_|I8Nfns{7li9Yy^))}g$^Rl^F zk3g(^plx4d6I!`F<$B3xeVvgW)Z_<{iN8jodrrYmMHg)BE2B~YSEoW%U`52bFwQwS zfVnKHTLqizH11u?9?y`#P%AL;m9%*)Xo9Z-^hKCyg_c^S>8cCS?jFPadfdwq3-N6f z$cF^79$2d5VQfoA;``?d^ELl9TV_MitgfV2ZlPBSojSHaL@ulY^ta-BHZxBvw-vh5 zt)TK-;fp?{6CPpaADakuAezYZk(pyW%!`XyT?id9#XFTX1@p6U4e)HlSDG&{I(A@v zcD*mFfmz5O#o}rqPPAn^fDd7}P+TFA2(%$u$^FPCqe>?ND zP{VcE?*r5~#zsU&?lqx|+^vi=!GM?IOEv3Cdu3UZ28_?$*u#zlGBZ57w=9J@>M1#hR^=IyciT^9XCB#e&zdxe>CU63w=` zUU@v%k%%O@q%3+7e)5ahM-nH%Tg|L9lv!srGwB?Au-0)cBPM8B^ohU`E3@Zo3G+%TcL91tUFvqT7#d#50vcC_e6CvqEVqxddF$qX3eYOS~SnmAF zLGK9)RA$(_m|^h|AKnS)q%zrv=5y-f3x7$Wj4HwV7vY8EU3?-JCxgvCTcQSsbFbYl~?^CRYz{U^JACCl!y-)DQ`58Fk zA$%?7zm=X_dbM#;xQM9X+x?uK3wLCMj(EXYM5$k}e{R0yykK7K4@Q==hUlZ1opm4a%JFZ$c{=%UkneJg#qMR{arrQR|Izv?|tH z;<4rftSlb$ZlkPalqy~KTm(I>s7Y7G?_%9Dp1Oh=V{y1VEcZXQKem;ZQ>Pg}$5ZoW z;~e8yH|JNXIz+?jB1}Xnl6ePsAQ#F}JZzW6S$71~a4r%Rs!M7%I#{RHmV1dTSv z_$(-3P4Ou79Z@=<`%1At%AoS{di0ZbhE=1Y`Kh zb}SjT@x+eXS*@&mX;M46+ID&H5NZ0*vSYkHaUbyIlcA&*f9$Q?r>jc-0;BtE^K7g` z@o~h{KGgB`u$3kE#3wXg#+$3>taO&zOY!r()Rj{Blwl6GIAgHze256T;>YO2;7RXw zzSs(WQf>HMOF6IkTV<~b8)C6u7<5c}nPvsP@QT4DFv5@-*F{?QgPTfuS1fj50TTZ?t z{gwE;_N^0$1_7rbY7JF2hE>Ax8V3k-weNuyrUxhJ8T3Tp|9x;`sA;Xx%0d_X zKFFG@8AtDfA*F=rzm+{EXbsm!XfjFlG2>w)G{XjHq>^Mk7;-E=2i8`lUk5I0$Yj^e z8Ey@O2Ch`cx?7X|(D;DM9t;UYcyhC*w==5DuEbY)sh7(Zs~yZRLg|&j*VxXwb6;x) zmPGjL_TPwBv+^keTi)p2$Owf#Bocw=GFmkaxCxBEk+(PC#dmCSI)1kBLY1ubJ2p&)e=~)&#HNZ^dEtEOYHv=4lTOTt{YP2aEm9U})i5 zJG8b?_ZjTn@RP1K`r>(9$|9S*eI;wLwRj?xc|JoAN*>RV$5T3wuLwfoyBTu%lH)df zCim6)+URw{DHy5Bt@qHX&KTtx(d8CR?H*x4rd_5En%2truHKIoJfH#LDcVc%yAktc z^rOs=jbqiuWV0$)KQb;emTF$HQWLWZm6NH-=D0D-%e8s_l5N4n*BUt4As!zURTePMkFRDEtP#h0h_dlRz# z(p*f0tdGLk**Dbc@IYwzTa8Dd`RlkhsNW=a_=c50eq0RKw6#CfEAz_KMz1W}#;pwZ zK?~qQRT(uVm-hG(+v%^tkI4`}#zw7UydCegJN<$9J?N~TU14qG8Qfd#scV0shiR); zK<9LLajkGDvOlq#wn8Bc@tf0jTUhCij3Rgg)q9!cFH<-98FR1_h{{wwy;5z`sV?zv z@*fJCGin5z48g+oWIVd952qXAQT%z<`cI30>R^2MJYKw?%FZ>XgtHxaHX)p#o(W$u zpAIML>*?9qYEIRA6KlLz$35O3t(Illy8BVrC3tK6Y1H0&1(Ha7Rx9RXg?|gEVOs?i zrfr7s|Bei7R_gW5BV*fa86Il*aCMpn4Xgu=gNaprkZ~aKM_NR#IyifKr2#>&FXe8wyS)^5#r3!e1Lyey;$&`&(y7b3& z{-qkJ{@YLQaIY~gqYne~OYG8-nCLdFOak*3_bKoebiDnQ^*s1m&WK=(_d%rb?lrEw zg*BgUQ+ZRQzB`gZ_`H#`?VJtc>?!wJp2-u}+{rzW4Ck+E2kG^WB+X08LL_GQM^$FRK zfhQZO?IXG+Wj{)vr#+oM?XU0T%O+{$+x>$TcD@DEbZPs^^R&crHh@~BUrWoIzGDzw zY+7ij8R7r2pBc_(KPQ~aenI#F`;Ws#>_1}_o0jrRUCJSWNY6*AfgQqS{eWR4-~6MbX}z7f1WS*=QOyj}D3sjt+|s zjgE?1N3Eh`c`j+QyI?WjL*hwkNFe)BdcQLX$)UeK&zDf1E@A5*98bNZWdz>j?trR& zCFiH{tb5ce>dpJ|>mHr6>-_wvZ**bR{Y#>Yqkf!AS!4uO!`9BEJNIjTDW7ke<6pBE zXab+G{k6YzJpZ++VIAp~{4`n;EsHYQPou@r=h2GD%`T2U<^NR_=zEK!HBrR9_5AM) z;_UipBmWzMTG@?Jy==W~qwK!y8}Q#J+m!w0Xmhk9YR0=;`5V~-csGqZEc@ED?CWVE?&p7}?mF*`_L5-Xv$AJp z`()2$e>VSfv*)uTX(m-G-)=8kpa3&r)wepS;WVdcKlE-xxOfx^e^B zL?3rO+;xH)AUP5?5MrLx`o3I${hp=jkVZCPzokDL%{kfRXDd4M8-5wpi2k_97B~>a zu!e9P4Jbtvc=-*f&P*=9_4h4>Cp}N%C)DHeCK?*w^h@I#XEV3ZhdRAZv^^o7wK2mp zDb9GK!ps@3!pVnhMc?TOyRVyr%3q>dWNT#`hnKsfRZjJF?p1FPv9?$}tk&B(^(1X^ zmivG)9&OgPcFt*=J=M-Z&mL?1+Q<>B=M(h~D?+8#5r@8CK-6p1NK-VGtoV1b?Ld-` zuW@W}bNDBuWbu4fzrS@x<6~h9P(&PE=P}Ws;##opd@Lg6dcHN^o)1NTwLc%2n$Ie# zBzZU-&3geW!~hR7n^+56?T_XtPtxmOlf%Ofat5LziACMR9PM}`eA}zx5>5%k7XMzp zmF6+uyVsoWy%QS5PAE>&96I>oiMZ12K!)}j!3iI;SN15t<7P8~{L$Eg$ciciK5UN0maH#nXB zRw$6(z$&*%Tn=AA?tB%(Pl#`T`*((UgVP1yIU->ZDZvd$y0F1QmbV>PIyJ0s_EIOC zZJa)=ZeFuH`*#DDzohQl)Iw)6UYOp8N?KT@dG?j{mAi14=Gkg%wY$cgjOUoO_RHZy z;=HcLTi|MYA?r`^)*_taRp{)kb50cPFut}5kEvTSpP8%SEv_Y=!6skysui+@9Q|Gz zNzCiz$N{EmU9yS63j7N8)wt(gxGwi238@Up3+))8vPRlF&3m0&&HJ4Dtowu?j#NnS zru;@?7DLoc>j3)})~k;an@_GDpj5?_>hVB&abL455k-;5V4p}lEn4Rcyzz~!8Ns8qi=HW3!-h?{kttW}>4k6~y$R1nj$fenAg7Ofi5nE3!1 za)apoaGz)^vZ0dXdLXNuc$N#C51fV0`{7Kl)OeE(D`Ac*gX}mn%`3G{wH_QhL_G=b zVmY!BS(&e;N9K8*soCS`2bLLvokkld?W?*x*O|gRC?Cz0^y1+7eBx3*)`f zs+tl=T=Ko_m%@9!E_e(qLffLq9vKw@i@l_ET+yZ4Ny<(k8X3-6zHN8$W4DX{PB7Q* zF5wu|X?~Y%A4c%1Dp)MVLUl}XYKSjV;Cmz%w&(e9itQz6Lp>f200#TOi#s3g+vDz# zSZECTfmSBcDPPDUpUby>)@s0cBesTB{g4YAkrK&*{iN3bDN8vr2GKM84fUu?8qr;A zK+hh8MnxLcp{`E#WY~aIFOdqVHmT~7x|_d0{I|N=-Z1B_#&gvv#DZzW7}|#Cp^?$2 zz{#qhzVoU5DXmdqrT2(46I2iv9BnD0B93MMDNj;Zs^E?KqOamsz*A}CRD@gov;3Zx z?B`l38b%=a9<{_N|moA_=@2yo^q2AMQ=F#hU0~FxgU%ItE>^gsp>5syg=k zpi|h#|JcKyEna7z0wU6$7Qa+|{dGY<+7jQz$dic^7k2Z{$}UBhZk|zQRsh!`CK8Jd z`Ci-Xbp73&)fj$OV@ot6#a^C>#7KBbupr&e8C*l4doH}$B3h@&x)6+8jyBu*e7A@g zA0@!RMc{)Ef~NzC94!*zmym=MA^AEFxl<8VFT`VwE}_kezz*-i+r5B2F&75->X7$XeASjkpQ7J5GP>A52#nH%P4YojL)S2x0lK$64;ZLJ`HJv| zaw%UQ8Pp-VQmWBZYkqEuVZzD)BmcKC{mS$aHgYV`5pH4{x_Ic`T;RwdnOR zNWQl7{9xWG0;;9f=b`^9kaV%%Pv4#DU1nYeKd=sQJAUFn$1|BRiqY9DPo~;ax&9Ci z{Z!{Yq7~Po^-JRq;kp;YiS>{YPsP)nXcv`5DIWbW#HJ>52Q%^OUVD1>b?+9c`#QD? zU^KJTco$nG!5SDaC>ag-#5dL@o9t_hYu!1d>Eg@$DO%&Vqcz?W{$O+B@Sf*4w{vlC zA0NH=DbL|`J$4@PDoNSXzYEzySKU8?_0Qv;=wYC_g|CP{ep9D6cJ_k(n$kK?SWnor z7XDN^`(wE$k)O)JYu)_1!14`FU;k5ZfM}+Es-gDx;Lx+O>HI2QE*A#Pu`s^@*gC^p z>`BR*+voYGhBt7PhyG5$xG>Gyg9DdQ17xz{?M7$nJKX5SsDIsSN4vc3^)xH+6(_bn z5(WEF_YbVK=1``~;g24Ol>HH&)>U)82CA;$6WSqm8|br`Z#Fjv^KGeJr%=+$SbHGp zht})^%%W%cwT#|)5k)`1@8T1g8mO)hbl2B7y*@HYX+il;Y|RzdH`Z#-8nE>wpYFUT zaPy|dX+4N`a;D!i)6>7oUak8=-j!CKYt6M+1EH(Y!|xXtS{2B+SA+l71md-K73Ut` z6m7$cpj76DJ^X;26h7fsyD}FYN`6}$xidH1k{lVh>Jj^7^6laim6rGq?a>C+b1}H8 z#8$D)#WF95{zW}%Bv_zNTx5);#`TaCQBSJ`>ArX-Cgv&s{g8BScSX;8d&UEQ;Ij-2 ze@eWS*(bC*qD@wwhzE*S(FocftNqa2!2OgGE8+g{f)f0SpaFs=i~QHy9v1(cS$JDx z2L2tZ+~+mIi~g6z*t-q4#SF% z-etUFt_Lgw?au4oRE-_#f*s#-Mylp8;A&auP@6BhE#SokW=_+DIsCwAYJmBg2qnO5s4XWmfamY>T<5QvpS^jqOXdvTg%DRm@JWd_Tlda*F5PA)7 zSdHx4f_CKhTrk*g#~3K0y*GnLo^gxtf+918)fy7IYsGY~ylxXZC zE$Kzvbg;EGm=-)d_&8|54E>ZVt&+8~c8Ta1h7F-)y7_Hsr9s4y*Lciq=r(Yh+tTXe zm@R&!G1t2AEA+>jxV6k9)QRqvMCO9i@$uKkkKB{!!~5w&89V4&`g>R9*4%D~r$ot9 z@~TJg)T74z{Wi`Oc&xw!BsB=k232*E_hhsjttEt&VFT;O{$;e&(H3!nqMp8M%B(oQ zYJS+sjM&p3^%L+I@qdY`I@c0BXxVSFIvVG^=)R~vr&na|EHz|* zxls{49WpPlPjuXhoKj^2QSW+Z0ZY?7ETKt#8e?jjhk9J*T`vae?qJrr zg-vFkTTGQ1%{Ia;wadW8cbhr;ZnG47!84GR3WdA^IZS{53@FATAiRk7ZbW;#X4<|& z70eFsd!{7UPd}*O)L#A zMeOpiP(ShN@Jjk^ws)qQ#t3Q6txC$V(;Vrf^z}UAAW6T*Xs8{4^)5uJ+Yv%r${BsApY; zN89u8|6LagkMsRy$lrkttH|!^m*A7`Jih_!iXt%Olf+AZ94^sWeq=044Eq(e#hn=Z z+!Zd9*jJ!6WZuj;x-d8tJI)_L8GPnl>*iR!?BwcRXy4Dg%bgs$FK9}ky@p03mN`Hv zlu*K$*umOb>NmQI5~_o@raBtZ^{ChM-Fbd1v@f?)!fpPj2){Z?Wa!d2$I=g5!l`lmB0gBhWs#Xqw?VV+U71B-=Lx|2djEiIuUKsU{y_XI6|eWt=6Gm~HiWgtT}>`u(FR|- zt7xM_O+POP7kPMNSGBC#L|3fkF2c|Iwf=Q*MwW&nGEvedJSQ5SeF0sl+rwO-l8&lJ z<_NV{Ha1F~pBR@IS0wl2^^Z}&NO~u`(|>3^CPC>t5IU=;4q__+m`1V2RC z53_G(EB#NhIal6U#ydH8puHk{B#<$m7;__|Bbk#+@O-=gzwh{Q22YOzFCK~BR|(dI z9`N6tv~S@D_yNYn08|kiWj17Sf$M2xCJhUJe|YaMd%6^xg}_nFyruC zxL0M-0&B6efU)G!;zf*}B5JZATwtf`5rKnrjoqKNd>Fc;5~z?AH<~|%|3gH(Fqe(8 z@+qnGGArC2_D$EU@R*mIh%wmiRhr{cvSkU z;`4zC!8Ri!vAV_I#t!is z>bxzxI?RRRvIVNWI$CYW7JFAlC#gf!Ny(&mJyGA6#;{^F9=HST!FTZWmqU+6`go@= z{k{vI5Emn%47o35M8 zmOK5gvP*y~gD+{+2av9u}eSL#a<5e+zYs zrHfv3mO&3>H9e4GN#0~+3k@?el6N$X#F*F_7J>~Pq7C*A9-{t7m|rl;T3Fjv3u2dS z)w9JGT6-%nBJd^gH&<}Jl1R5J;RbygYz)hiW8r>dGif(wj%ciF_+|J%$?bMd-EThz zm!~qDi|(Ke#7~4!a+R#g(_Yy@TYg196hePUKS*nA!~Q6(`!WsTC9K&#N3ZF}cuxO9 zkNq!f{ihtu;V-X&wrmoAVSeFEgs-p$D0ZQQzMuYvMF9>lkW zPTvrH32ZzawuU>f0=fAa(FxHq#>a^|RiPy@4dC3+62cQDrme;|y@(pnB!0*`nVIGR zv}CF%F?32thZ6l#W+u<7-mdc><|~Dka2kF~KgyUT5-xUID>)m$@k?U;zAw+Pkt?K) z3!%BoSRHy`l2tsrlo-$}?fO=syV9zUZr@7u(_|Lh?4Ow>qEJ=d?}ddn5lnzT*%!wv znUmfNSD9&!Uz$c-=)Om4`4I^|dL^10y&F#pUs1Pv?U@sXB;_hhhQ)n@G2R&60%g%S zZ=gLlJI-4GOpNoMa^I*rAMd@D-N`+%lXy57?+voS{iOa0{A7tNkPBy^FFxKgO_>wM zd+Wo2_Oh&KPmLo+^mFvccx!ys9DTl@o{z`-;AH5w@zw?YcxIXL;WT?LWqsGfV}yDi zJIgn{sn%)SnFD_}JwKcrQ>t|}CBDO$4Q-op(WcoVQpsdw&5?43N}+3ow#{XQ!zMi6 zQeSvurT*LAXeIPu3v!clrH+%Bhx`hy+jZ|3m{ZKscs%oZVWtpm@lw?%E;Qhr&}T*T z(vOiU6f(1q7b|7A5bBP;VC)EGT8r64u=PIl#6I>u_IOg<9y;XxCJ-^6Sxn%m7QOW< z_-(A_y)DB_tKfkaM6F3zO3ZX-3PW%5hCqnG32;QJfqL%q9Upv=&ey)R%oCmydyJxPU$OH;7@`!FEC$4J9}hQo3h+TSqyrv(0R?TZH+=BzAju{tm<@)Cex!2fkAsR zTNCe>nh7??PC1hKc{KBLiVI5MUkIPqvvyL8I(TjTT`+|RbKR2{_`YD;*LGpr5{+pM zO*_`nl#W;t71_OF;kOo{S6XE6!$>azJM8f5u)f;Ptb7&zX?Gx{*}-aHM(|p=&9A^4 z6Z-1m7EQV8xMWgQHO%gZUeevhE$EzF5c2daa-I;wt%-#G68b%Y;T2jDZfzIOy-rV{+l@jN0v zk#V9?Liz)7(pATAV${#nGK+$G#-U2#ld8}Pqxz~SJdXR3RVOa74vajbFsfsC8Q*B) z)iG+>2b!(o78ENn%&I=0sq~G@4nS8D#2^{xH|f z9A~sdMtV$kA3R@W;oB*@!bA{^d=aUjY<_M}-`9Y92Y<|!HkxDXE8Q{Ru^CWhDObT% zb%IB^+F{;-t(18B5FKd4#%d~>ha~t3T2gorm-_?!?Z}C%C0{~82?f7B{FTPYTbbSG zc_PJmwTcf_m$+m?Yc}G3%9(1wor`Q{RR39beHgpH(lW4LG1k4LEN%lo!dCtLg-Dd< zh3Bv}i4E*&i{XQA0l!?&ESnRpb-m^`RRhX)J)Q`Rc!NlnErlNS_2K&Plw`HLF&v#; zjTeRy#DbQlD7A%Cma|I0hwuscr#xffz+7bKn75JHSVe9h{|Z)GokAl%+&K(==$%-N z<*^mClPNdeeha&GBvzC!|8>J5lE=-2I8xL}-Td{E6Ya zURMLNGH8P~`Z(VkX%lpa>(klK*ZX(9d3J^Mt~VTSVtGxB&i=*B>z(k*4Bwg=eGoJH z&ahJ`T%T_KrEqM<2UlPv`;xoNcuZaCmc<{tAGz0=m*I6zct~k!(WXx7hSlc{!ZE|ZN#4swX`Fbz>6zTsq%m}{>+t@w)bItSoU-H#b)>NH+G2!XNv4=jE z#cg#92v0Aqu^fvNU2}UPG6<2epAAn(iMo3}>qS_;o*hc*6kr8?tdX3YT?{xy+ z@{o~ArRyT)z|RQ0eeq;lm-(m^F7qIy8Nzek%A6=1>e*IGAu0Da(Q31?F*Fc-P!_d; zB8}jc31_{nvo~7Bbb6cJdWEFL~ zC99c%toCx6(oeazKs!1e0`tS%T@q=#5p|fYSAYWHl4h8eYoxq(Ps^3|M(3%UUmQ%O zoNZ~F^3}9FYojkI84_~zG^Fe%WjD>e9FYden&Npl(-#06(}h+#*%`YS#U_HioWhfrL zW%VnRSwmJd(kh~lbDn<;J+%XG^6(e&!*I2KIOU%OPh=bIAbnjQE&g(N3$pfV%`@RR zkD|q;UXp`QeIk!b)4iwLVH+*Gz zb>~I6#bSM!(wXuFX@?K+S5%&S#Hu09Q&wXQsjX19QkyNhU0w`DTK^(iBO)&rNwjc~ z({;nj=yB@Q*}swfM#i9M?QBGIA{WVuqu5v+{aQVP#?_(GFt?mos2Fy@BkJaRjA+3k zA`QgakX3PrFQ9IID?QSi#Lr-FC4733C<`@QN6Wzupp6b-ZB{}nm9VaV94|IvK~l$k zloS_|y2!p?+a?z7_WmSd9@T+slBU2b39T{}dE!)iuGN$l_(L!kXokCFiGS~@yX1dU z!>rc@NpN4RxH@5lGb7X4>}%X>-+^zrMMjyD7z#)O6l6Rnpia&m$Pb%+5C05eEljh0 zL*&Zs{4v=r$@$h#tbQqlOxpkEpU{Lf6tr5-%2+3bCz%IZjtAXTwZT>1^ZS9f0INEy zz?lW!n(fZAXWM_UbHR<+o9`4&Q+!X1if%;v$x=V19d;1aW4n7V)@FCGUKES70;qCU zUPMivgB*LawzAt`z6({d9_^23u(^LcSZ6vY4><8!cMd)@R`ZV7@_nTwZpN2*O%Z9r zN_{toR}g1K@bWU`6JHR~YD97)(YK`w4&$y!Ekt^;!#x_`e8)iRc=nI*rnLmW{$C-V z-3zQa+n8lMhL5C~Nbee}O5+d4fbd;yV|NOgw8XqNrn|m*lI`2W?3|iN-j(pri=5Yp zR_h`EuZ0cy5@b&jv1hCK8oHz&u~P5E0;9G*yA>R_8T|AcVpeP-)o+k}Y($1BdL~7- z_}0kh<5}y8=fzMH$4&Tq|(@fPf<|(6>(N&kcUZ!(ZK3=A~naRjq`9^v+(zwSs z8O(&--$sh2&*U5TSaL4ks7l8-(z7CO8c+K=MV@coWA}B^HkG5~B}d7ruaoA|*U5Mt z%p$Wd<&V9t_;l@yJZCu3c8ZM_-VxrB-ciKMY3a4{T6@QM1EPV^)zMF)YocqT>!Lx? z_0bK{)6p~0gy`Amx#;<5V)R1vV)Rn98asjSY8U!evIhO?Uu({G+FI}miT|{~c^|6S zj^F2K#{*Pvv&3Iuzbz6%{nK`h#F^a`9Baz6dM449fyqkqEXKkNJh_fd*5Z%sEWek( ziDPk+_f7W!x}c@;nQ~Vd_&;D2Fw;N7NH4O7va-4nK8vl#{B~%H29{kRH#u>%6qte-=06F0VM!wWb zFn}Fzz{BKDe*%8Wo~2&v(3oC}E+X7@?!Hc>>M~t2B+TY8qaWsndNX2ml6Z?O}heIu>-#% z6YwV^_m?8mI2B#;X<8qAsr_sqccy9Y3EPy!XA#ZBTeL@tGVG8`;58f2g=OHF9J8il4J&$cnmmMu&~_QNIPxN{zjHK)9vZjUF_dx;?3$6>lOFqD$VfCa6u=zubRJr z2Rhll3+s)$!b#>UT)k{ga_>qZIsApz`4)cONc3jQwA_fbLU>7B!3w&9QWek=1w3iO z*(NSkqT2~;GNqQoNV2rVL)Q_%wp_|buQ1=26eHxna4yT07+Vn#MPt(q%yCo7JscB7Mz5BH%_KSPB+uoh7x74nCMxBURTG+6cel9PFq^f z_PUr|jkoNXl(Pp*m|Z=E2lPc&SK1P0RH(yVH*1 zslB_=HSI&>TkVZvJll=1YI!5Dkl)_BoB=~SFX^@Il_ryu`>z|a#stu2gpLZAjnxy~p?}*GT9Ss6e5fH_Cla}cY z>@T9#WOP71=+UJNsoNrOLSH8#ui=zddM&X;j+ZZER}=Pv^?&qdPgSaX+NwJ0WLF*0 zvN{T2acE?w5xK7i5n_h#*6&gp$LpGzi`TGnYf<=~eV2OA@-ri9q>>VL&9noL73nd9 zW}wqI)5)4`cQ)GNZ$I?THr@j#RhKQ_mjXu)BTA?zr54%M?fU1SrMtw>gx1T~G!J7% z-Wg6`qZ2wvvhvQ~l~zuBslA4VS?Ua{Cm7Xbj4rayq<2lPuOZ)?W`KRH0(hee5B3Fi z4?RBgD7D@)rl?};6jSOd<5Nn`Xw~->qgWuRi|WZ(Uu1t-dyM#d&|>s5J;664 z?CP=I9%vW5m&c}zHe(pQC(=5(pTZM<`pr_qP*_{Rp{#&|w$oe=^e!}};|F6p@eLV% z{N8pZ!zt}Z49BmE`eeDi+zQzH zR^ZMg%G+|dzLmx~W`Hk}t}s-#B`X<2+mqX(94(>j8A^p7Luo>KHqbMb0h{P)kty7&P9{dhk2F@ zn*W|(C3Y*f0iioBBOc`pi*B<<(MrYe)P72A)HUFTz5H!SMHu^QlZv>OzlD7PdleSp z556L<%U*@`{V$S3qkTv@E-Xg=0dPY*q(4_?m#SZ^$3S|~NNvc}((7})6sQ6A;_T+E zpoq)Wm-alfP4>milbQEap_4?X2cIV`v*V0k*!yKW$JeM!qaLhwu1!8nw#Q$^zjo@o zv!iP>u62_=68dN+t3B~ce|r^YBLD3_J?6sE`sT=JEF99Y!7SipR506nG+3cI1S{YW z%t8h^G+OB2s>B}Um%+XEJxCcV&DqXyEN{w!S#SyN4}Q&T{va}zw}?1xVlOi>kf*Dv z_yzCiwd*STl|b&i<6r|zoQe|o0>Ur2wFbZ7|Iodb`O_$LulE#1}uuZX8<$Kjq1l`1M{9TusUPS`*@}@pF9R(#!%2>RK{3;w6|0H~Z9R6q?8a%<19_CZ=3wYDp7X2@|<*aS-p!mjU zP%I^h%n8|T!Exkuthpv?6`#a=1FW&}HohmN`OqAa9Gx5cL-e7+*E&^K;c-dgbdh#bo-*Cb|UP`iQ(<5xqvgd|>tQ>gYUwlt<5NI;^5!iy57gel5G{a zT*vVyG$Z!4*96!4P4M!(H5ughMS5|a-wrxuFg~c|{tZ4pB(ddFMrDXcboEAbLNYnI zKCVaih`vv8a$9f-)`<~Zx3UPowP91_!9VfuBFgVrv~#ZYBRkSMo#p5lvLx1T+ys== z;vH;~@l{?9$F!0!;-}b;kh~ntotymj#?i#pjqIb5$PD)XA5jf{%WGfk3$}+x z+c)}eA*D&{drdgV$5R(?e-%Vf1x=kBxjv8>7ESE|oc)2?Z-5SL%AM<|pVU%H&=e2+ zO^DzgP@(|Kyr%YYJojEjG?EQ)Wu+x<^5^11yoEYk^-c~0Q*KQftNk^AUg`Hnzv(*v z3Upa2!_UF%IdVX&!(T*9sB(Ji2LBU$54KWrXEo6BqQ==1bu^QEf=@_uPte~#1$on} z!Il15?Mo=-*!Lm#{yDT<+zr)Z-L3DTPS2Uez9+uJ+|DXKmuiHQ|j|-={6PQP(0Z&FJ8I zO16^KTV3k>6*0_uCUfl-$c$w6y~@ARKO0W*b<|$cR}!ITM|cg_&jj5aX`N?iooA?7 z&)v?h^bg`Xy*q^GgZ=*W{&vbCxjaLit_jP5pwU37L)$ANGG&36eVym{&Y{T_SYx+Q zrO<}gaD5!tgYYbI75!SE-qN)hOoW5M{_pWp&Rn8XY(th|Ww+unW(zrs7mlrAfm4V@ zU|pvk);&M=R|h}B{%|w?t~aA`+SFWvu0jE}_4uSmF0v^+l!!O~3(cG}_EMvadg@gW z-({5e2wffx5R~UKG|SrVDq9K9wGp}tnb}_p7CJ9ud%V!y4_~(u=S5=I1gr(PvZq7m zN*n&7DsL~j3Qrpg@$Gz*KZ`h5qQR%kr?b+2(&`djrXypZAMadR7008g(+}OZOI1^I zDY-}+3!KYnyCytD9ja=x3KPBFTwKZQ*wJZ1nTHY`?2h1G?-%&UfmU=nq92o^4mn%6 z=t|wg2zZnca1Z{?Z}r4y%cH^nvtP3B4KJZw)9I<>bzeS--|H(_-|XOP_j;qE$Nki{ zZXCp!z141H1tshKN3p^4p#722vYo{C65EMvud!9IwP9<^){gB|w$s=~MH_WI z1gX){j3&IX`HP7)Q9|4v(Rf}QF1GmtUq?}v%l(t-!5nQjKKK>w-UPo(O%?u-XaRu% zX`d7uMA#9YfaLX6WM<94ilPtx39;Reb3Vo+>G4?UzZ$%bk72es|H{^kMLmudl4#=63R3HKT7%G0C#xCL;ri_?f;yw8|ctK@Ys2>LwlyU~v$3WEm zL5^DQ{=Z3Gv+GXJBu5X_Vm00hJXaP96sPr|*ZE#qnBwAQtXhvD>Oxs45eOb)tj@zj z>rO0Uh+VHSbXoW?YuDDut0Ik&n^LAeaWgdV%fe%{@I zbCD;W*GERjSSbCX9aO+p$R^tK7y4<6*3|46qQy0}Uq%8{hPJEd8gXRAw8FZK6(#e_ zUe1c35gH5lN{k!gsiG0_ z_%#{`JupzutpergOmLk)H++^Hq>OVJ*>l05tAdYcm6c%Zx#3(}=8U<_gM-mC8%&g> zoBRY!e3O4SW8g?E@ZZ5p@dc_p`v)|^4*&*)t`3N(l*5iRXXmVw*$<(Y`{QT2gW16z z=KXt!cUNm*PrEHJ*|Fya0`n;!O1O)gjg*KZlJ? z>h~@OqzV1=B|TaKhLSi{<(k@gG^h=g^I&ir?=`Z9K=;%(1&R7u?pr=@fEld&C@lBcQn=#iHGuNjyE&NUW zwVQ|^eh$!bx^+(Yi1UK;sna_dEEWTGRBebZ-Yyf_#P9>=qbSXYqZ~3{8ilKcd>-3n*#j@T}$f-9XOj_=L*Vn z2KV(j?+#*giy!nwP`Rb-x0`cq8SisL(P-KjZZPiyFMe*VaXz;P`Jabt_`>J*=e(K5 zGs-zv5^i~EauRKD7SUXCcp{fo+)eldIfr!s7>^zvS7o%6&9m&O5j!nS=+s&qo z=m!PAY3$}DQZAv(&w-N8VHqmWAu9rTAG`Bi`TaIHA4>0ecpjT*OTq4E`K_I1b|L!X zaPWvDoWjFEEEF~Y0~^9pv$a|3i0!0cA8gX$&29oyZqjqr+u)bC@rz%E5ByD`7lHn?^mO3zVWY{B9=AN1C12tUBU5|aS-~p-c z2q6D;;Jz5lvz;@c(C??G?$s-ldl*5l0~MRuZ{o?rK(koV6I~=6>s0`q-I=LnWR^uz z7RCb}q*5CT(E}OFxRLoGO|cG)GC3G)j}2L2*-rvBvw_qC=w-2O#yZPFU&8tV8-+r~ zR{`5vEG!Fwhd0Q3U;Oo#<9lIVIM2>n^Qhy#q{y;~f5HMZaD*bNNS1`F{iW!+EeU4^ z%M#nkMPc}+U?U4hwLT^NZkshFJSgoLc z!9JAZc5De{4!IqDd|6T6Zi%(&5dSeoarfjgYAg|dC+PNjEPSjgmfL!$j%9lNAnQ1R zm(TSIXlWpD)+cUitT*L*^MSR^^n5S#Ij+`lwMj$XM&2ols^Ra;V1U0eSP*Qef>TvaZQ*TT^qhGcbp;o(8kyxi2z6HiUf+QXPjCX7nP|TkfS=@;-YeASh2X2| zdqvK9{t@892kira>I0#;W}(wrirWslw#>D^23FEYnDBW z(LW1%WqI%uHqq+2o}=J;pT%rL6wg9-yq8%AY%Vcii@@ME6r8lqxNtU7?-JxSX94Zk zBH@Y54MY)`8t$;y`#HvKv06tx76gwG(dYnT^^`dM%{A_mcy>C(s7FMB^5lyN+IG*LUs!>T}^d zxRxcXxyJ`*s#SREDl{813pZk=y%&>C(&nOYhC_JQfdR*+QZV!%6 zOw}Xlji2HU@cqZS-ID|T#f$=_4yKm5@J!X1IMwZmE-3rPW*OcY2f;NV-XEIwKcj>X z1_#r|2Rpy?dc_Br=UeByvPSrnQf~Lf1DE(5IG7PTo>e0?FrN+%hLZak8pR1Ymtc?8 zk^8ma1Bn-#2COF=vNdAci*0W<9dkGOng48%Ky^v%=tAlS&S8~PM9X{F?h<7?h9AOS ze3*K8=qa!};1gXbvC9%aYBo>mvaibD2b zpb&fcBJ(NUdYbp2A*Tt@K+84G%frS_e>^1`?>xnqpK_jN|1|oj9yTZw*iS&hvcq3) z->1g}5zi=9^{Jd4 z_UTqUJ8Z!ZUn$zDUswa4STN^MQE}KH(0%@~niyPp?z% z;dp4EV&b4~H>Xg~67j&sw>SI0W9=ta=H)1oz>#ytQyO`5BAAPL+>!F6T-=SU4JsM4 z#mQ%Z(Aw+qSkly42d&+YwV^~}YtEW9&*LiMY9UwRlc^b8vmI!N_M&ZPBx}NtftS_X z|Eg*mAACt!zTnH_;h_)qFN8YH1xG;v6nn))5U8#GCwwn#oxF<7tf|*T^)=tev+oyS z@8sRx;ItZI|GUm!rk}boi;LVN$NX1Ltk)NiaR^`JZY}L-Y7Y<2uqM*V zn8Zr@IcS$r(Tjwh!CR{Jg!{Ptg#D!bDC^#5!&-JNH6}^(%*8}Y%B~Dk>jrpM2~v%u zA{m(_0*~>GMCl2iG>E*Dk?1^6hTsCs#9v}ihhHKtXid&ZcU=3_orosau;NF1Q(6@O+l7k?hp7?n?@=hM4~g|F(M9(~61|0W z?Xy+#qDPPy$>^V?^Lc=LUf{_l+Vu4rlB11PvXmR}%amVL6hj9*rYY}qRUzxgrp`}T zRX2fKHWo@Ey)T;79y4oRvV)#BZ(d78q)YrcYJqyoeN$E1Z$xP?Y!!J?cBHDOBfpo& zcT)Cx&K->N^uLoU;jg>{@28#cdg$vRtnkDqVH+sertpFSctKcJ;{QQ7VZvqoJ$!RX zBhQ6fR?hqBN|Mpe%B2|+pLdY6Hv@X|e4)^6D?CG17Acop_(QM5k!xpu0XOX?--ABC z(m#ouE}%|nRxc;qG|EY>P>y!TZ}@vkJi3Ez6GyRu4n0Tn99&LN+#tQUUmNsozBSQ zuV++U->RSgf!KAr+)`fhX{W>|v59_mS4t!0e?woNjzOf;zD8rh&ginCbDbH@lVNsZP2*c)(=9PA3?ps9LPJ{2R9SRpOKGvJAuU3X zFCx`RzBBE&b<4IlDIwp>8fl3lLt4aAavVVVLf)5a%SdY=n$S4{c4drOPKAEnooE{- zo`ni@dBromlvljg%TYYn%Tc`7r`Jl?N4(g}^*buUPE0yuib_lVt~bock>h-Qq-LrX zeP^mUs_IKseKx16ZC}@Io3=oAT0mN&9g#ic_&OyQjWl_`6Hrh=8D^f?c|{IZO?al8@_!6A!y}0e$}y{>S$LT-{QOS{9WFg zp}GT2ranqOZ=#1R$JwfZGA&rqx!rVd~uhXjK)Y1Mty|*L}k=M~b)A7(CBW zGHNKT`-a#EaGx&HeId}6qmO3b5okkQj#gQ=<1~{ zhUEp{&B<*gqeNgq`hEiWNZ(62QV*jhu`a|5y|nN>Iv;^3dG9Sd9S!+t3vzGIZ%+&S z`4+He2Lbq8~ zM^gAk8pAa23ElzbY_|Z=?PL z75oYHUuFztPWPbg4S2WTFO~mIz5M6z?SX-6Jdnz81P}aDNz{|>^k3<2Sm1}*T0hKM z{du_G{b@}+&;<$B?wt-Q5Lt-o=&W*WA?Wp}Zi#=7>hnhUEPB%_=Giy@$dWwdCqmvz z_fs@?Zp)zdPUc5|DT;NT4LQr_Ue7?<;gzEMeR^gF-V{&sM#ejn^DQIR4~>S) zdIz>=OYVE-09GNx)lg?>RLV`kUjxZ?C}+xLEyOA*!}SP#CiqPe5s1BElb@|_@rFe@ zEve)#_>>gF?LhQNtoz~i&}fWzr#aNSgY9;<(QLP|jbgi*ZJ0?~TWXT>NLo^g-Fxew zb46XN)&;2ySMb1)J$T@IY5RXpkAC-DfdR&23iZbW&vGums*#RAxndiRAuIC&Ai5(X zss64sY07WjlZeru;t~160YG;#In*~Xe&%7yEvU`-*=n2C_T(!2Dzu#s4nIRr`MS&> z14B*A?48Mv@`HFM$2--f5FVrf4>CW>Wn9Zx#=jS%sFXt8;SG%}t2I#y>cXEGj;P#H zL(QQP<1M<~91)FHBb=f3D9Sb>x=ju9Mg&8-a|ua2EDNh4~Pzj;DKJd;eoua#~IWyukX>9^P0bZhnoDYW%y6+ zxxcl(fBGIUz(`2PW%C-N|H!J*FH*#gjNQa2mSo@XOGmuqvLBRtA6Y`DI^uD${WyCwX z?jKNf-(YT+bYfHar}eJB^XH#dr~Bca(VkU!Al2a#JaEfyc%Wvt{}9_@4-5bUyYN8k zU3lOvyBtct=7{}vDj>xJ>Ds~6D+nvye=BCFZLCk)WU}#=sTn8+<}fyPgz6GKg*+izwaP-0%pnqe3*wJbFp z?PsNiq4y^D{|8#)X0!x{MZ?q`+&SF52Fx`~^0ozsr8K|+D(2n@ymtxy8Ko(Eq40&$ zA7Kuo)pk$)?+;_ucp%l`6g+Se8fiJ!OndkPKSaFur**1{2V@-0!gp7Yx#b@lmYjU0FMm8#8dT8ZaLo z&!3j{pL6H?`_ezB{C|J0^jZ}?U}zm~!2?})qX+Uj-m)&p_9S`izA#_!F zMpp2DFE$XZgPQ#Qq2@3Lp8hUcAYC6w4C{Y39R2gZ@XxNn-BkbW1 z{JpLFpYi_J@qj?W?s#DL6~W(+0lv;3*h3Het0AQt56n_x!!3BA-){VYysS|812upD z>XQGXzQ1QKsEG%Tl9jk|=q{;&Kt+lZ&?|&zm_-|=xF#6XI8UVpuo{3PSuY5Guvo9} zea^TC|FAjs38F(3_?0eyi94I=@8+cgqJ5YnU8$M>n)fxDVHo-Vp4m}L1%^hW;pltj z*)=4I-St=M!u3Z(Nc4fs5t?@(Igir(fAIk@+C)CNi|)9DI!M{Dq0%M%Z-fY1U>BcI ztkwk&bi`Lc>er!^7Rp<*@4*BAD9rQkmJcwni%%&00V8wkpQQ%?ie10IjX&`9(Opwo zaH1ZK3FEfrSUtJ=t{&ITD8WCj9siMe{o_jYedbh+2T~s{f(L%K8$GbA%m1M*|9#f+ z&wpzV4AjH}$0Jv-+2i3Z%0S>Fr3~sYCOe{ASZBACHQ!3lc?@MxO&=V{*e=%NIp&P7 zGW#BiBwneU_EuC*>dIuky)~&!D!7xU5)LJGj?|@Q{>$GaX*iS=CCE6TXR5S%Q(p>N z`xl)>LVn6Kb2Ju;HrfI=SLqcDwQttC(w^B5T}rW{9AWE}q7RHlu}5TYp^-!;s;Lot zZ9|1vEzwOFj+Vi2;#%DdUn4zBzb<-Hsn#(srdr9Nlt61F>b=z5GDe_hoN6TWqsjg} zoc?p4(i>IuKo9L_NbtbLyWxSnk0+rA@_wEAa$fWI&n@FWH}`*S`~P$6_SfYO4D6x@ zQk&s@WW@L~>a<4!LtrDt0eQ{hzqC52)-Di9V6o1rrZ#c4hT)&;3xC5X&q`T5vn@2e zX#R**a;H3oO3TQAtHYOMR7uIvBoy7?Dp_Oo8lbl>NqwHnn3i|YMFi*6lt+rDFn*Q+ z@V~D8eh8_m@j&XsZ4Vy!A@u5Zt3ypZAnO8wgx&dsbr{Lt{7c6>Q@Wn{#TMxwwNj8# z+?P?Bw;E`pErSGJMf0x~Jc4RVr)I(rP(wYQ?Y8pop+|H9xd|I z%u}&2G_gb)s)YAgVqUKr7`LmT!96@dTR6HyKSOIcx>Jqt#+W1UV?V;Xi%qNqhm&HM z5{+fa+r(GtP|oX{WB87?Kh)SJT><=iVwh??kos^HJkWdBxcLzkf2-;UA{ zStSx*W`~=nYCFO+%1*k^S9Dyaxtq;sMT?4L#r|ur={Nm19?19?XNfl8xU9;j(D}d;uIo;q4=NH!+*KFKg2ry$|tvLZfteEu!rbK}^O>oYBV;#SMu|5|<>cQAfX+(L^)(VjO)m z1Vq&NOw?9HA&N4e(%0|wJ)dsb?Q{rspLtg z)KSeP8i;YgFouc#gaG#OSX+~9ywX5=Agjragl3S$^(2@{LnwzI_~zHE|1@LQ|Nnz* zvzOu>$M*y9_Y{YAzfQT6xb{YPeTa~ z(7higqjl-LVfyK){dZU8Yu|6fovn!k2g*U*)Q4%;jtxyBG&`^Hdnmh8F;^JC-iO8? z#{Wgx3`EbbA(J?S-qs1l*#yf%-iYyB!O+0xJX*dI;HK)ozGMtB{PJivQ|F zA*Xb!#L>!DpEOkY(dk*Sjg}CyQ2) z7UKZ%+So&bVU_MNA$n*q=%3bM46Xp?Ug}Nb|L48Ogw_M<{3=$bZZ8=O9zfq{qGuVj z|6l(nla}}&w#TTiL<0#9=o=Xc2fk6lf&Cu+(%6vTKpt~$6SMq6@eY#;@bfj%9VUlw z_YM=yE3hn99Kq=WC&1~Gxm;AJxagjfs>n9Jp@e1{bgrii3_ZZ*Q=fEBcbB<@18E$f zyGu$qu)Aojfm1{FKIm7zYyh0LkN-(G3#% zWZe)p+3w)k1-WJEt^qyDcgHOznqama*>`nI?Y{U*G?3tczLA}9pd=^UeH7ObWBw!# z#BxFz;Q;aebXKk$+Q#Gi4XD?5#L|3`JncUv`68vKFbNI}7DJ&Lo^arV5tLMkYY5j zhq#K-4MSDppCN;Auu?_o_W{me!IAz&|Mx^6-4ki-NK5QJKM4+G`LmH8l8cMy3-WE7 zf4}S3@#(+_1ciP_xl1Bc>%pRM67rvCiO z+=|nM@rTYE#-FXY=`fC{H9RMyKWAs?2E^E&v+78LGU0jyGz#bkX{F4COv*lOW{t%h zA*Pf$S2Q#BmRTGAk2%%87%@8LDVfWiLDmf5;&pPI-`Be^*d$uR@=RZO6Z<8<6L&K9 zw*D5r!!L6$wYP>hWS;g9^UnxAHe13!Wlk~vpLj6yxM&KOTUWS~j2GCt5ZNiF1w+vs z=UD%a&Uns~;k)(%FUC#6*#c@mxgjd8zd>VWnbN~R_p;=~O86%k5Al8`A1i8bo69q( zM~~O5I~{A-7@WLp#GP+{vY#|*f0xaFfG}gQ2h8TmDqwkTx1@DTN`f? zW9$j|-iGf)eDB0}EWVAnH%_P4u}9pFox44OC~e1rtJIp&$+)|O#)Xou+C9+%dq0iz zSkM=Jom&4Y?D@&R>n>InQ zIGwc4Izq9uM*9<0F1iAh>Tze(XWv)%dFr)<0|^dv;gAtwDC);rN^`^gau(cP&q(9I zO~3)71kDYSZmJ>TK=x%!>PQbnr_5{?NPT;qHXAAXw%kkdkMQ9b^xvH7$q7Cj%Z9b# z8x8iTxjOS=coQ^5r1{2$;n}jn^ZiG}B(XF+5xU`yk-hl&_VTbUQ|_!VXYsaKzfZXRr zTq7R#*>g4!^J0D1E}@pzdeKkZ3!h9JtE63dM6Gn&S)~1n=A>|g&a4bLu;HxU#9Qgc zatPnRv-J~8Rp{09<|JPu+bZ#lvRxP13fNM(a`=YkUBp9vURHiKQW!F2Lb#FU4egKO zBVAqEZ&Mtf@-ZB_?80&Lw&LyT!Q0h?x2p$lR}bE<-o6XpN%+!D-H6Ae`p_~wGL1!C z<>Et_G_OhL2w)f4YK=i1A89*&4%_R$yUIQp3k<$Ek`J5!x}b5P#1rnSTJG5Kq{jkU zFCa?LV?n%LP|kBei_dz};|1Y=CD378{NKJ-k~p38y#|D?H;O%TuSp395**-Ar&=(G zCw!|-gLiBi-uc{>XdsOP#1j%FG#JDI#rKkSZo|8!`L$Tn7?Di-eg7h82<|I?5Qg@X z?E6lJ{Ysu`eM_#8|KwV1i#yovWo?auH$=2{k)?NI&ytgH@Sl_@c3G{)q zafcoN*mh-fF@M+x=vDx~lhn?(=oM>3n^e?@*5Efp+ExD!y8+P3EZDuUBkg5nVkXu% zhC{YF0Qn=IlN5*dBs-Wlu=~Xn*xYXqH>b!3|1K4(!Jg_*WfS3Y8=E30h^a8$0@HY9 z8Vl1HWvXWl_7r)qXaHAeo3DdYyOT8_Zmp9sUYF%ag_@W6y4Uf$^F3-lJeu)Oq`VkIv;b)q9 zFWChw)>ofLJ;m$DgahekU+nYS%X5haKm+Ktc%x^~dI3>FE<*?quNsq!&&r8)*zVIF zA4T!Dw}Oz4C`N;11tCuPlk1?2_Pe3qpNE{{c5HTI>Q>CtR2eF;%6%hts^wWrIGo)m zF~gKM5~7*IfB-itKhSQeG-;M_K=+9V2hb=l+MP3cy#yVE$H4o^4m zk*Dwo4egDLHhxq^fM_)~e2HN64hR?Cjz&@fJ%c0*T zy9qySXE|a(4^4Im9SR9udd^QX4T?!i@<>BvB+~;Yfb*Tt;gFG%xr*^ik9LnVuKCtoGk)Oqg#Lu+K!V z7sPzRRcMR!v$sq1dDAb^0B8Vm0Ns~~A0SHD6Z}B3B9QO{2@fzpp#$*%EPH-xE#PEj zPy370D*;;THQDOBE6>MnK5!O66*vs5IK!YFGBK^D>7+yh2@Wh~@p{3P>Q37NPMqXX zY4O?t@djxei1C4NAjX6O%;_gx*B#YdqJeF3fGA;m>jiChlBC;Nn*k;mJ-*l+;nOi; zP}KK{>*$1)#}T+=Iz59(Rt&!tp$DNvVykbs?X(ScK%+k5>kbYCdw=a(-zv^S{w;C= zmSEkHumlHGBWcC1;z2rAe@JlPMHZhqy`)_nI8FH}@dF*J4RD~S7>q4p=3 zJdf~cSwf5w+u{RB5fgmSrw?g_uS<>lrz^Z5+Z$86ht7^r1iOb)W4^xkn($!+Znpu# znEwZy#*Mhi7Q2*KJ@|ud`G5?sQ?A&7pkf4~s4~#K++5l8Ls>d1oqu}1A{;>e=`Ncy z)m=7pU)3>1`2d`X&!@+=Z@TWQEw}4xJBjU$X}x34j$I43_!xl-)qf?{D=N?Gf})wW7zsM2Q+*|6*!<`%lWUc@8g(=PWKVHkgR&48F-+V}KFnW4|5;Y$fX`PUYEvP=btqmb9cpwjluC`$ zspB%H>*$GX+M-;iggQ#i3UIeKtYWL^k&(&(CZ7zvGDrFGR;9)neS@p=lUG zbrj*i9D6WojBwyl;J`EB->L7H!F&_OOfvU|`C9-~G9Lr;X_5UYFn=ks|96;)pCmtR zxxbE7>U3Txum9K|(11Xbsop|wmYo*$H^#vw7|feVZ~mY%KMXhG#`OKz<@(G~n-H2G zi9kvQd6QWuww%PFyFHx(bz1 zK2eIemMEoi@$YTZi&E2Zr&H7Er&EjT11UtQX&apv_0}yDxoVqKUMyvUx~Dvy1MTB#1o!Z)H^{qP|`)S z>ubGZ#hAu{!$|Uj6+{Diy=W}ZyCn49uWmdCdT9Si%U_7gB#tkq&?3=Av+e1V0^Ac_ z7s!YL*a|G%}DGN6>7#f09s;`J)@_pR5}5@Vl6e_M%u%JWJejrKAj&P96*bw)(afyk?Y4UZqw8qi)MFyZb~!&8rWuTcn*4sEvWbE`Puhj>H#}C z?O|X&^!-rF>Q7X5XTX&tH;jLu-hx(vnROcaoDEq6g`$0(%sGwT8H$TSSBU|LtH26@ z4y*iRDTW~?>9An@eE8Js#gv~a@r@`X<7ePM@gh1V##KJ7#Fo&7h5G*?O5-*%5j9H@-+)RpE19j&!?Pkug$0|%mqkz|EszpO@3)vvPMQZs}u zswe8X!GTe)Z2xR}N5|TZp1jMQ-S)BhlQ_^FIH3D}dwWXt zsSlbpwm+7}@pt^+5)QP*f%#yW`mxK3dwzzYk>kBU)i9^`k;eN=3$Rb`BaQbUHNc)^ zkBiW!`oWxjIud1+XyB7LK=;{c95@%I8pRo0KyQ97y&d(&sV}lo_Ktdc7p3OxJ>gm| zlppv^_KwoNB5hwT=+vh=JyzVi(@(ilYnHjTKHM^EQ&(20|P+vZQEo5hBwE3iTrR>wSvH!H?7o~$D4scBaB)N0Z zSB5}B(EfN&GKF5~KRMO!00^KG>b-h}H+^48Z@3lUmc(j8#-O#*N%Xp2(BD4~x+CV% zd2v?D^{PZ4gcychBJcsZrNI97;n_HTvWKQxNDm-8gnMO_GOpXg(-S#aV81Tvx3K7XC?fvwHRcN1#g|W)kSQ0h~o9Lz}_fUGZ~n{ zDltR8E*`@7QN%eLac+X&m55cxJ|l{KK4PDN+eBx`bwUR8nSoqQvTFUw-VCvstrK%y z#_lS{`np^Lvk8Bz#A}FaEn;1-;wlR_hCdr8*5Awl1MSpYU0`COm*+RJ)bjKPh zzpWwt^HAH3pBtgjb|fdn^OA8g|yD zMJcc&ge&0yXh8L!Dp1oMZoz!O6#fI&4Do>ZfJOfbM!|g0dJy+*JR}~l9yGZ>-7I*w ziW*t)M&n)M3x9_Az4d$Z0rkEgZ|;xwYh0{7;34WH?XrvwV#o5P&<<~41*g`Z?J+jX zZU0jwFBq1(^Ne}s3TuT}VNyQcGvBj5Fz0zo#C$o=djann^a|yUAlU0MWB69&Ugsa$L>_s--?27vk z3#=QPgHs84(8PFlFniWM3rCV^?ogJZgN|F%9XDrtiZWsxpxHsl`l-3*^J1>NRm_wk zd_m-bg>tGp*9hfYb7c4jd#+KB{(L3qqY!ADh31{^D1SV<{!H<*3iSt=pA#>!!I<|h z5VxYw+ujLEehCiaYwSQy^p_*+ z&wL!V30P#SH^o8sW#k}Bh&AexsS^9C9hL)+6F=!tsD`48gruUHX0kJgi!-9HNJ zq{8N+9O|0W@*v7#Ew9Pc;*PT6nL2+AtCjV5)7?Wge2iTSdKr%2I(f6WNtL=1>F=I? zvAg2kJ-OW-u_j{y<^Yfb6HoX{;Q86W_R`#Nchq>ti?cWu0Ac<~$%{z(Nc4bHhv?0a z-Qta2jyHCB;SC-TZ{i8TB^bQ~q?GtirvY=of^v~nE@V24W#OmBpKUzCPJwK814e}h zywbh%nDCY~^lT1Y>;KK;EP<3C0_e_B1KaR7U;IZ*=LlbqaV)S2hfWRNlo zHbj18(T&p|ScC%4dmeH*9Q;H2t`oh3G(`Gzdf66dgR`o`Z74XUY|;wWaCPf6omGZLLd05T((YDT zO{U$cWDDL2J6A7-i4aHotcy(hJC!6jFptd@&tb0D4|{LrMkVs;NiQ`BzVgDK9XE``!l0_Vh z9UiG>WRM`EeGkD|)28stnGumln5bd7AZbhvJzq;>4uA=9t2lZ91L$lA-~jJV>;TE^ zfV}~;%N9bKW3fCWGVl%?dI)()nsTth5eeR8J^l|7ggiC=9YCGQ_yxXD5bPx(X?B@P zi%LM{5WK+aO$pB6XE4|BTA0hk6)@L|(J=Re+2Y^Cyn;9l&KB_+i|oswD?l2TnU^3X zav3e^{BE#ecAbj7;_i+{P`L3c>7 zUY3Q^-POh&;tsjmTy3rwYgu*pJ9mP-Ro*7Ih|1u6#D0fZ$0)@$ay8-~g8${=EOs7j zAb~+Ek==UORR%Sz1vfy~8S7;ocDDT4`;FUzcI(b3%J2DC$}7c?aE)n)tIZYeL~}^+ zYiS0#aH92V#Geb_bhnCzu+>=_N%t&hglR=B(Zf*#RGMqm``4&xvW;6~IO+_pe6^A& znb10ai&cmyjKc2iPe;hZ-mQaVEO^=+W@HT7M|1-ttwh<3$?|M+g!Az|ccaV@civrv zHeO^8O{RDmYA^TzTA^Q#SK2{4Fe}z~pR>&3NFY+&lajnp6kQ5hJc=wo>W5WKd#RR=RVe))Lq*U^s!>pxU z<$`t}qdtK0zoZcas}`6V;Ynt`IS(zg0^08dc2v_qmsK;}n-OvW=BNuW zmt6qq)&lsbAWM*X2 ztGsPXm@b`(S#+(6`)ZZz|D?BZk1~_Z5c8*G=5d*DHXQlNusrImoLn+z(i zwp!$pNBX*!EwwAfM2(MwzjAR4_K0KHK$!*>{lt254syHLr(@M}s94U!%yOfKFEM{_ zQ+(8mcdjSzT<9IcnvU%&84F1F_*J0Depnld^^oVOl2cE|F3T6oU5N(bv4Hv}$q6+j z5Y8p~33Dkb(8ktqjr=f6SXv2m%&DGD(g5AZNir8p75YTznZ%dYOGq4jTHbfOiizZX zwKnCb50d+0ExY5Pc7F;xo|nDjGr|E3rL<5qOUVg;rpyI2ab7oh@x6EQxO-DA(Lfpp zjsXs6N=V~C`|AZo(tx3TOb9S{pml{#nb|DBX4AynK323{N1&U?((4FU04@57q0u@* z9r!LoVH&c;0#qomLuqBK`Zl!~tk@S$->H>4Q+bjHL=9z%Ar_D{? z81cG1JHuJu%#Xs;GgIw_P?k3}^9ym0v(oQoaCU^e)u?CJxnBqVJT9*n-eE!Lx9|Lm3K1)jzJBlCB6G@qLt11&kR{iO(TjCqVfpZmxvv?&Rgqhe0mM>yL5z_G{SIP8(2b(Sh{M0vzR$o3@a-@y1w=f-kk4zTC8B1X{~ zXt|i5!Xt;@!iERKA!{|+7~K3i#vBVjIq?_7avK}#k5?g}XAmheHkOU|Czyn3Z^M1O ze=>4GG5!_5l)BAnFC0R_oWp53fjHtAy7H-PJn;1oY`i^OOfzS~*Kjf3pAOrZVy1sT zn<;O@f5Oq6aG>A*Hspw6@5Cia)QQpmS!_&zd8Zk06No$3MqKd2%pO2m;`}JoaH-9E zx?k?;^uBn1-CZH0u>iEN&_0LFHEA!|SJ|t!mIvlxAD=lIrp#J4SPgUf*Ys8l*kctyxiVX8Ch5kAlfapT=rhfn z=nB7w-{5{3(md|h`nN}`OqzZUb;}gp&39&G^EmUjCtCcIY3!|ZKbe!gWs~5*iQ>Cz zNb86FbSE=9cTDSHjBdcivY$5ZFMU5<+a(%^aR95W=+uM&)Tf!zL#@-+K=z%%N~vLd z9j6G1qv(RMTWcPqbA_goYSWr2VTHa)9)z@)dUU#Fcig;h<#xx*wc|b$9GGv?ebyu^ zE;SzU(u`0qV!tTh56R+RhDkOjEI*85#Jg1SgSGxR8Mf@hi^16HKEs zuG11;Dle55yFRlh3z7prVX*>Mu-czZZ7W+QiI6Q$QS+!;e>CPhWw=oi5+0artmh?n zV}D1bjXfZ=KOMVJaX*f@F`9iCyq49QwX_q3(HKa%yiC121L?4S2eU1%B6EatBr$?n zmBzy^^*Y>)U5EKiZE&-!b8FdHStqpXzJ@I65x!(D)j+8RN;Ocbfl>{WYM@jDr5Y&J zK&b{wHBhR7f7BXig~q;uE_|jl%lTOQ6P$N;w3QQ-@or|01z}f~<2jZMP1X&vL-jZT zFUxf0IWxpQ4d^1Wa!iKX#*yQWk%af5o}x4FjPu?Iz#PaOO=!#Tq0^YNt{M2_SrSd- zIgaH#(s7YvA$B?DC|<1Rb|)wD%Y7DFDsC<_K4QQ$-pw&5Fu0Fh@hXmDS#qpv81kHf zbMd|p?IWS+3=KM6GRMJ=BK${xii_UuR73$%Ul(%4!`f76AldD!|9bf(V}OD zd6t6)0Tzx3TiI(um=}w`LL4`fEe)%T=I~~AfEdND4#yi25vyy8C1I67LP; zV}7AifHus7?Zyx?DEV)8e|rUMz97v^w>EnUlc^{8n** zY!OGv-q;J@Y*b-~d}rA#Y-t-`!nZrV<@i>Gb)tvtESlIBY@Fmqjo%o~a5sx-%8ugV ztR^_xo+diUP2NVQle59O!7X49{ZVqGGeQ0P9m@x=;pERB-Qz`Hd9Cvc+}>IhzUo#6 z1?<{?+q znG^pP|5td|IUl%7gPi=i=p`G1dGaaAculY}3|S#8$Z_E~w9zQP)!2%K2%mK~prl=7lhI9f6J2E&oN*G+t1Co4{JkrnotMjp?JY)E zl<{tq11F-K%7ExDs_a%D0VNFg5(xvrT-AZlasy=A&+n|FHLwv&K0S zes6G_jEkMV;$o*izSkhUZS+UY_r#B>5zskktTCohYwvG|4kzNqkd&}T!vANh=<5Z~{TraBR zMNXA}h4)pvJI>Wn4SWgurXH6QB@w4Zz1G%5(9%(d$54+9qb)*#LEiO05xxHkQ zXP~aOctZt7){JA^0xj{?lFmwuVvWGW`*8MAgCxC|%JXraW~u7uOYv(4S3#m(<^08b z(Vd6JqvtS`c(Xe%+~|G-rLA(hi+OfAwWIhB`ocWB8aZ6!9*ck9b~fTS7khkEJ3=xu%QJp|txzXi3zy=I(DZ1S4$78v-+{2P~$ zfXl9UZ$X(iJ6nuRpzq3{ACO>!a0nw1A2I-Hglirn(wC8iL-k*{ku_IK9~#=K|2rD&%M-BNSQV{G0QU)8u`KdT2uI4dH9< z2i{{q`-SXjXxpF5ZZwV#nN`5fuiq*4#T9ZP-8BP3~Fqq0m5A47h(sNCLy-1ftXDnk3X#J9NXjJ1g8F_eLF zeJy(BW9};C@-cTM%01m}_LBHN_8Qr}>}KR(E?WfCA8}JW{Yy;q*%G!`+2Jg>w=#U+ zcwN44zHj`m{3znr-gf^NRE$xV{RjIo`w6=er#^oKErLH|zhGCxhM68a6gBabq?*t* z(3cmmOwt_Y%siWd+M%)FVBuJO@I3_I!%?aV{2n1|0te_wC(5>>j))qXQTB$w0Txbl zkC0u>2ABTT_^$*usHnQf;pR+t6Dn|{)7#wOt@j84Dip7KkGW3(5jS{^7!z7VGh(a0Iyw|Kq+SR$3ohtGtVxgS}PWM7ueA zT~NRYEN*_?7>jd}-*Xzn3%rBSHm`v0UIC>X9MlDGdB<6OodHgrS&7oudrt+_^EECM ztc-zCA}pBt+$>NTEmZKjidJi@XtA1|g2Lv>$ahyz>OC%*nv5>uy>N>+8NN0vUz362 zlg-ia`!H%_wsXJ7oX2sVYrK0?xH5B)n2s7cN*pcwihk5m;cvlF_i?8n??df@>_xLP zCt97!T(%)(@f|?@fCvaF=lIi zVVc;W#BRfo0?_eaGgpWOpuQI*h0X^rd)GK;h&SD9oF?(M zofD^_POfv_3EsAEKuc_rTdZF?cDUZ%WUfN}Z<1@=oY?GK=TO^Y?qU)S{EgoqVr}&w)c$NVq6d0UTQBE_5R_G$(Cdsw$lA$Gy*_BnDH-}i*O2aomeP7mLH1KF=Eh7 z2`96KVxe3phJhQK8E$abIt4qSF6{CJcRa;;M6$3Kdo-L4WSA$%FbCW;;#7SUd?EN0 zL$)}?2RA7sCl2^Nm(YAB-dmhWKt$U}epz6cQ-Fw@P@4PPjgBogFx(UYR3C#;@Fuvd z14p;nS&P!GK|NmqlzhUy+UX(6!9{HG^zV-xUtZ>2;`9fnGcT<0&}OKa|8_T_bvJrT zgWKHeoc`EP++9@2A39~imz_iwegmLOC`ZAb_`s>)1}<_gc1O7dYr0k8O|c%q=XX|5 zHcQ?ssee6%wd3aSWw{CHH(AEx!ZP#%?7EfX>{j$5>SaBkQM2HU6^Hm=fqs5+{R(Wy z0e>p}U%OwC<6zgrpXibQ7PvHf6JcXXOFUvvvn?^ro@`ErO%wS1$=(*UIrwYTKwonj zY>97jgGXS}E%!J~HU3l30s52~8k6m(yl27v^_3eDVgtT3`s>hr(Z?`$f;(I-dduDh zzTTzIV$@D|LEKU=;|Ivi2W~HLC)YVt8$U!Z$jQwJahV(z4hjE+b^3aYEDbErmWP-X zh5s4;BrH%7F&b}mCdqqj!ja#An;8<;xlOo1V-oDO?VauxxJ|_TXDo2O2KO9nawZ8~ zLwdg;dIWr|$9*98c#nWrs>1CXwsDla#<|BmQd|W7XgFplJRmOrDDWB;vM2b}s&FZ| zYT{gpGw6x*dde5X*X6&Ojoc0o_1?6v7Zqsxqr`v0$5NE*TK6dEerO2aw0nvlvkLH= zwyKQ_tO{V%cOXaj0KP6q4l3lE-ZJp-LJp=LXVjw1EtoIPldWuQ*uXvtug2WyDOCeC zex*1FxYsJm!g1hMsjq|gla;uup%7F;|3jr{1+5(;B&e3&<#@ATGupWaOtZb&sJp80Z!Sll`@mhB zS%ZD^hl%&XCGKC%rD)B+0$F2NB+l}0(|c8-02E!TG$L@hBX};GpL4I*i2)lMgC`+v&=t$a+-iWe{g5w)|Z*) zbTJbn#3-ar&sder7Ss^c$sDxWv-r-%w<>&IJcpUY!;w!0j;`98V)T?}Io-`E!0C6* z`L1nLTLtu`Dd1jaVJ!Fw_}*M_hvv<@+sVO-g0(RlE#n1z!B7Y3Ib?_#bt z%e&n8k#o7RLER$L#q4S1&<93@ciVQBLGD29sprSH%dO7ssLz5o54Yg7i1G4vpfSz$ ziEq(ngAD?59&OK;;21z3(9et69dOdT4XMsV9c)pvx%oik+kwbO+co}p;@%YRb2t1` zn;!+_+{hck|8h4XUsd58cfGjV9*sGA4bn5CG#>FfO9y?W#(%b0JBVo~p6kQya~hD< zVV+XW8Zxu}YAE?V!|28{Mqn>79J|)&X5at^Dj}ZBoMS98giq4EU|) z5_V>0FgNXQ^FbmH-$TX!m^Z@wO)2G$(&XqZm(skLvm6oJQX zl3)iJoHVtz#~Z+HEdDJiY`?RNeaBKr$A6bvUpzT41drM5CF^BcpE(!s+nQ`2U|B`F zA${qyuw>+?Cqbj_eD*K6Xxbsqsb)r#P?*$}&m|*|LDZ@X^9zr}V=gYdF0)7}FB6$B zMVKU`ZOANcDcwhc*B7DRUkXOP65k}DHxObDgYRT+i2BO`8ibJd%BnnTm&hhjp~Esl zAsiBKMPt#sMoi1b*9nT}zMEk=B+){m3 zFno#L!w#h(fy3QrVSdBvCTAWGVWuLJE2jiE zv=j2aaBXCVElUY{PQXn}fj=PwH1SUN7P<3*&}tZYGluNO30VzuI!VlQ@eeB_`Q+-dRQi=THv>ds0Q4$T0E|fo z+++d)P=F|UQq-?Yd|#N0jcM5T53RvYR+2|bGjkbvc!J<0%R4Pr8SNdZ3ACr5A{ zm;L}!V>T>#SbzaQy1Lm2xT`Qja8JTkS$@jUjYVYF!vZ>XhYpIW^exFT>AUF$1h4?m zTNEKXXE|0OfE0ZE(drJlNBBEGd{ZzKm;AJkMsQ{4`7X8v3MLMDn-0}5I92b1sp8H< z?Tj$h0am8CX-(`win6L);Jh+0bXfTRxXmc#bFb=_xW)q(>p%5vF*c@W0diX)e!miL z6T!GHjA<{Mn?(V$_b`tMcl>8!A{Y$-q(9>1V$y*R1c67QfsIRxfVccxhL;IO&Pn@9 z95#>z!h*QzOeL!*3HW#;C;m)QDvmnK@`iM*_+5BwR4|62t_QI6donR09rFovCZSjT z{@I&gjVru*5KSAw*Z0sh_Pga*mQBv2La=zrrdYe53a#S^PPHXXUMuyLMTDi!20HEX z9tQ)!if4j^4@oe~Ee_Nn2u`er*;QbXbz2bl3L1uHU^x6D)A+xtO4=|$U_LrY9_0-q z;0=)!G*zD71+!*QP(5CE`HO5|7&UK@7X!d=O@^|mfp}?f>KPd{Q1v_((E^}QZ0gzV zTDCAW8@l{p7nJ~`pjr$eNMUbwXG;?A&4USf84|lw;wYkc5C^yH&b9}P@aArr$cq9Y zHi=lI4-BJ9>871K+<~XrP#|+&dFFl%{QsR!N)VR{yg8-#`|wanSP?B1y{u03CNBw=cIFVCcX?}%+wTx7 z8(RqUS6dR*PKPADC&bjHDT-{v&d*prcDYJWf&<>^2MgL2Zh@+$lv~X%XD^qO z`8H{InOSVs*q3klly1^yw4{ulURlG{=m0~EW9YmM)8his4_mmB*$bj#zg)&3-fMLf z-YQ2;$eHT4Ruq?SF)6={fJJ>t@Xvsh*#>G`_RKT*H9ZMhOy-k`Wz6gU|t^l!j(JB2G;1pyd1FGJF z;l6svf<|qFcx@m`D@7%eM8C5_ABeCE;y_8dH=N;Rfvke3C(M6v%y$@{-dRnTjKsoG zpmCQA+CW9vXz;lnidY>#haGVb*-Zy*%sTc;yEVX(Xo%eJwe*RL5vjRbR9Ux(OsU=a zE0^!P!I@rd{qu_4B>dEe7rqhNY+DGN<|TN?Cmyb~L(K+O^HVrF^j`F;D?16kjddTunmFBk;0afiXE(9{UltawVTsD?D`5T3}FLOeLM1 zV|0f5N4C*!I42Pf#`7Od>#FP)R_2cD%ogSoBQ>J(T(`|4mWos+cgqUy&u9ne(ynuU zLPe6sT<&0&h zBJ&Ce!Ug7EDIe__YZN3;$y%5O7Z_y-r{i;&YJhPK<3+wh@-dj zyG1FIuk^<>kYpG}^GaUZ?_-1H;(V)hU%s%J&%)Qw->#EH3!E z+Er)6;L(wzb?wXU;q!fMTI$Hk!;Ji*0M0*}1tQ=08p!32cWc}wcRf&|x`!Fuqhv7K zlJ)257u^Ny047WjOKN8`WT5~^!-89&^Iaw9W4qWLsrwZ9!&+gvwDc|DBI!|K0Jl>d zd2p)~@$gHUw{oQZ-DBR|3ep0c3??0PT8sLyRXsQc$rMvw80!dRolQ~yFGF!<{^9w4I&vVX+XB#t$1a!24DE&ILj+t!9=m<}75M<@VK4W1e>wng z0mVp+BaQ8Lfk-x2~TgTnB6=+Qu1f z7Tfu$vFzN{W}_KuKreleL~2W4LJ3~d0DwhoFKNOFKkdQTI0H2}_#A_PsGukeQk2#y zKuw41d>CE=MxX>EvVu8FHO)50vW}Qv?BYFV2-e3NV-M4`)WAh6X#_9oId!V#BE)jt zPumD$#DrK5`nIitc^o}0yG)G^)6^q*RW+d|i(qU8z{U__vj{#uaV9VWSfgS!r}*2T zP)p|j>lV}+4RP>-m;f-whLDr`%)|+ZQ5S?f7-1aXqgQ6EROV|!2(aA6*+?0yvYO7D zq+9Pst4%>PNceC+|CklC#75H;DX2?)a2f%hA(Ismo8`m;k`ajP-VL{=2VvEm&o%qj z?a@k6{)N*fmN+Qh2GT==^b#Tcw4CCJ@bcAcRWFDc2^!Vxf0vjO3Nhgf$ZgZ+=lqs! zL;}aiLaU7z5@eU9zsm%5F@n&)PGU9jDZ8zHz9O)3qHEejqh!)roHoGp9{A&7AtAh=A8m40HJ zZtPdk-HMGt1$hjXs(mGTy-P=Tl^nxBPF*2*H7Cnn(*kLz103tLi#O>iJ=_n#ZWWr) zjk<5R7%pPy5BplN<^dDY^IT=Z6aG)Xv0Cx4ITT$G-jG>`yTOjrda7Mmt! zJQFoO-eg?sdqRQ_7T%>I|#;`)4UEhbc=ssjmW4zfe*aZ>1_5rFH3fJEhE)9X4uq#rt}zo%OMeZK~hYyD=*vP2=N9H2OYv|fKF)rS}e ztJ|Z!$+}IqkBTvx^fYw@LDUW2meT;+j+tOyu#-+J7g*|8SXRWlnPBF>87Rmr>R5{->yOMiyd`w*k=B+Khs5p z6P-&A6`X74zo+@#0&=t1Jo8FTyHQ3b6%f^gOi4%2*AtzDMaT)JOO(akgyiwj#a-jj zb6*;)7wiQK@YGkw{t0kCe>I_Hu$!J9U)$7SY7_jI=6qYa!Sz{O=TV&Oh4{zy4HeQo zA>SLqzsGA`HBoX&(7g>1cY$=Q66H~@AI)9ur#c5GKO@N21y|2>Ur7Vi= zUAuhDx-ps_`mteBuI_)euu?DoAAv58P>O4olbsD*4g&gRj(POs4v+(LP2C}U)#&3a z#Nu1*x7ABhE0;7E9TFAGj19X}J|JcASW!fV@MEu$Z(ay(?@8z#%!=DWQ$7AyH#8X{ zCg7uN)}zV>`txRh?U1Zy9eoXy#rkFRJj3V%Bic>3@8%s@1^?)~uvXFo<3 z?OsyyzHf>yzLyLht_F1Lfr~Pz(sIL=Uf>DE;BIs9ln6m*(T@XiZ(I5v$pWjlYM-qK z*4`d|Iq~3G+u+Zb41CkD4#7wETc5|IF&#FfQ5LWp;%J~;7mRXh|RMFYQW+u-@K?++yw+S918a4_81vWzxg3f&m2~t&K zpclbk)98FsH#h^B!^S~p6Gmfq!HRCVeBbEK5YKCA}(&8mwiK^rrIB)=I~ z@ArMYXJWDF_Ey(47BV3De_H&bv;8LE3x&~p{lu=2&m)}&Wgvx*LC3b=2YFka(pJTX7nX@?I! zn;DzGVuHj)vuQXE;w&QECM5bnRhlD?3{!4rs7!38Bc$xIO=U8~n*B1ZFn#$W)u<}}qh+B>Dt8n&O`kexCc(63|am8|A z4ZmfgEIJ?g;m%FDbaQ>Tn40+rs8iKyKZfzlzIFxhiT;8J_vl?_5#LLl$`OnBXs@@ZgBoykMgT2t5>R1FCGPK7=GLsc)Y&JHNBMz32P1Kob0z; zadX-N+2h8wI$limL`mg7x(~_ATg?Nz%p3}tUWTIwq%0olE>2d=w6jgxOhLYN05}<% z$>w^gRjK)sEt{;@b`n~?6t(CDmLF? z2)CQ4->&}d)vWNwM4c>eYu`~tRygwd`G))*EO;)`0DpREh4RMy;jZQ8?j`k+{1o@y zX~XfW4pa9WC?e0-%$?TRLA_kyjjB$DL!Xd~isn*KJt51sF z@JBp9^Qt#B_TWMP`=4``erv5fw{JXRU1VfA9CX5BLOsd3xWnDEW}9nX!FRt}99&FPw5O zTM9sIKS9cC=Nup8;o4VCYfN{~8%^roiM3dJ>%T?{UkJ8Z2&-M>;Chz(@k46u(v8Gt za-PpIUC;30$6Aka(LA2i@O6&==DVlYS7(En8v#XD`6c1c5of0_rLI1kf5A|G(b;&| zoLA8DDUuNR@@2;Z1FJXV^)fo2cQ4hy(VV!g18vidL#lX#&mY-qbld+pM|dkq;V9mX zzJ5x(ae7NQ&lP3rU?_?-VlCK?cd-+V!R$Hux4Z3ubhjcF_OGDTK=(9V5?3J>&X^Yt zKk+yS#rH>aZ$MqWXgE{A4P$Z4cHr7)VxlJM2)?OtPx!sM{DhPdb#9S{H-gwIc3x6O zFWWN7tb`HSQDFQ?w{S#)umu{T{HER;Zp zj{SFxl{&`j{FQ*pIDkOU|D(^Vi=G01dv9^(3Vt@7Ud$gX2F*xukzie5(6Aw~lK-^+ zXHwpOlA0k&DO}V7RlpZ1a&>r7(ez9+CmGc^45Iq*Mm725@ zFfTWl)}-QfU_=g;t!l{-pAh$A{8!Ab9SQk(N#I1{+gVueb-_`Xrh&gPz~~0XNCHc$ zB3!x%7bSrAl9MBWJ0&c#Fw7+TT!k$;O-n9Id&x;~n(tINmJy83(r56MIJgo~9HaV& zJ%efj?-v93+J^aL4W~1V+!!*A=FY~L1H;?z!80#I6~!Yz zm2}X5E1dmd&$TFJKqA4;gB{WYgRUv>{PrFVY<5uc)s8|bkX@M## zn}$8J3%JT)_e1kA+cnYB0cWXO>0zTcwzBOj*gXw}64L`EK89tO)1V9BYl5=vSPehk zbCG}W;<~rdTs!KAQ1&C?Nwb3>&JH^HBgSV?bt8?!koC)S++ttvvRk3PoZ*cpMK_Z9 zVFGZlD4eHpL`!fKug)rn*Nft@XK<(FXc!88%SvOyYj*@kM|t~L^`N?8;FEg$UZcS; zEb9PpR;Fi~SO9IBE}ILXc?ruvAvTzSr*edB_aIquW5yY!W(Wv1;cLUeIUahx)o({E zgL#d_5xylPk#+TeWfCCL0w(*KR%BWi-Z2-4CDO#Bj+C+l(1;%(V`}CxUF->#_ef!y zO|*ivUts%yrR%B8dq+Ms(O?Ew&_vcLH--^Rg__i4`k-~%p++zfn}&?7blE7(IBt*#EFa7L z$(gsUFm8}QM3jrO8#nh~8!s46&LJDkoi;!eT(sJ70I8#b)>Sa|ZxSuP=_KxN$$Dp6a(`X4STe3X zKOwR`4E?hZ(hKKU&wtjfn_>F41)B?qqFPunp^KFrMWB2pFT->vCsULD>0s}-o%?g$ zH%k|;mcDN}`)ukR)FN7CW=UF!636#No#}StQQsGqe=ROG9vITe1%rZ;>@-+j z1f$SFYe3_&8YD^q^xpydJ%eMk%4b8>1}QI=PohOzr^eb@A%Lttb@kEo6R-$qbP^3F zn`Gq5m1*(&jbTU>$Qlim zBEcgS6=6OH*NGT{BUBS3whNH91jt|%Rx&>@6cVS12e1lT&0&@~U*SeSa}-e05JDnN z3y8Lxw9kqER{oktLPXANtc8Yvoq0>6{Z-UB;U6_2eSo|g%7f`&3?Gm{ngyNo^vq0jD zA9v}hcu3}y>nLeRyozhItn6JD+Gmv%=Lct=+$>QTh0o4KfS_`wC32$2ix@R}S5RUz zD2Tycy2G^vJ=tQ_Nt@nLQ8l3)mI)X(P<^(T;DnKo6>`s55pw2+KIm7paSHqMLAGrs zK{Mz4F~&;r$OjyKwl0`!if&CA58fW#vUudjaX%dj5u)t~tK{FzExt|G@?%dNReJ9I z(_a2Vfx8P$T~0!FFZclU>rOg%Qe=%Tf9gUB6#&XLSr*u&qmsjb2nCNOFyM~AW+w9yJ1Io5;rYd61qvdR{)k+-?^ z%?N2{!@a_~sfKg_-<7KP*i(mp^g;J#&#lIFPG*eskWjU^X<}|31(fLr0bG`SE^>SW zA&LU3ZNLhZzJamG2f`1x*21{h7jc!wNT5XG+t<03csijS8Wn~1yH&ML z2EwVgjc7<%hm?m~20}AS!Itf3Wed_(&?=E7U=l_NfK=ecyd~Zha8Bn^ehBY)`!O;f z+x&JO{iTDRWUS)Bgh=z1yqhgTm~l4u>p-ZI`fVX&F~hw*-)wcCK$bD?3goL6|1Jfs zBN+<*6&>8)RJndL#!KPnm|&sk`;2X&QlBGr95eWxLdirr6F4dHd#>;-3duk~jW4c6 zvv089uNTTP)c>M1nGTCw{?I3_mn|39SY>OnJbf!k*pFi`4COoJQnidGnL}3;E?zN0 z>)3GjtC^pDMCK|bmyHO*#*XqsmcxtS0UUKaY7Rqnf$Y6X z-}h_ZWmyJ@G8AO8b%xnOLM#`~YNsRl?A*I65r)S0)9*u56MSx*XVbp=*TjOKtgGvn zPbBouNQ=ljs5P6QEXri)F1G)iOU1#R+i5H++>n z(r?`DH32nMtMPE2D104TnCiy8mP1%}O}Ea%WxK$l0^#fETsC$T57eZl)> z!MqjR_Hsz2Q^$r@WGs?+pV3p)R{f5rUg9pr=_}m_A?fL0^KG?{1d85S_Tzr1*5W~X zMr4`5ld)1i3UFmlG$W<`?Lmtm3ojT`L~0pw+vl}Da=A1gCU*g(C(@MqLVMvP$G^HC z&G&x0h;G$>!X|@Y%(@-h-Y#E#=db=BUN3frxvps)V zKK?^;t&r5NK`dd9zL{YEaE zr@c~Qcvp;cMz0X;vD&4*Mu{Fd$8_R4Jk-~d`6aD~h#X%dYJvQ$aJdJa``!b>&zBoK zW%Ohb6whJ0vZrZmy*# z`6?vxoq7dyVEQ@G7W+qz{Zr-<$NumNB`4{t(H04}!|@bkttB6jDwd^-J2y8s3RU|h zyw*PQ%B!6Q9qcEB`n>scOPXvDR-5Hkfc(jCOQcH)-@c}Th!j5m+Hby>;?ID(VFnL@ zeCC5e=}$r0k)wT!{K|V{sIt9YE|kUUyU>~OI7U6f#dn}yEWUlL_d&U8)Nb|2uLk)-KO_C2N%s)v-t$|m97-*#44}9dM@2Q3-!la) zaj*T$!%mDV=7zgC{hOxFmG(#9S_Gs7^BV0LICHcF>e|@h<$Ln|K>*4fEk75H8CR7U zTC$tz{T}7P$22pQTj@)2WWR2S*@i@2MV-fRbiV!8R|8;*yp?y@Pg_SeLsrp3I304> z;Te{$xVLk)bjyP64TlvTu=!tpJ;}TneEUx4MyU;{=>Qt~1Hr{ZM&IP7uV~WWSA!`q zoN&IZVFa0^q~=sb!yIUPDsOS#?505O6{eObZU(@9lXxRVz1>SA4Vg}gN}jLR-9oas zBPA#LG1D1nG5(&_@0~DziE$rz>R=t#m{1*BLuRWBYVWCA))Kc zw#qlf?+;D2di*@wvpP^|WlL8}6^`fED%`f+HnPaHnh5H&;+|8fcM$lnSzJQXTo~L%IH9#G-62j=| zZdSaYnTuOOk+>uEfjc*&{%mu}rlGE72E`8Ja{IS=CXC;u=y`toaF3O{RkM|H zm3ZAX2L6b6jh0ou{r+Pqx1frl7n_p0f|_AgsX6n7AhYU3VxMGKQzbW8ocdHCGAdt! zGk<`0P#5A`lCEgWizIV#t$!A?P!P>wF!^Lm6SK%tK>2JzxKSGn#FhvTEM`&qVK*U` zk~c^cs5bYgkxe<0?Y;iEVp~+0Gn(fMSffmEcD*e~PCEB$;j+m2>n!$KIA1q2HZyh6 zt#oCONqn}?M#ejY!I|FulmEM_pLF4y0h76DLK2@8#{C2fFGoeT@Eq53U(U(+4f*!; zHSX4dy|wwI0Q~${EG&2A{5jY^m>Z&qM`LlYlS3%&>uevSs5UIOs9ptCFbYL|a~*^%eGf}$0FEW8?>*V(SP!|ZI+l=&#fk-1wah?*w_anJN>aZl{G$^eb? z-dl@H<$VPRFqY_ezKZ|%Q3w+dKEzr)r!1ZA086vv=!Pj?Wm7+r7}BlN*ccbO_;w$@ zC(h1{`1vyJvFmVjjxi|=88vE^$zhrVA5Fj2x~7?dn+e0Hsj%)BNe#T2+!#wz^FDxm z-o23X%}Nl9fY&7-iY}J%B9v83rl0#_8=^0A+uI{!0^f%@ya&(G zIgiAvY2+z@h|JrOMlg`qtOoDM??*e?(kIC{&0hn}z?oGO=atO%0g%NS87fcp{y0?5Bnp)tz zC2FiLd~6pL4@|F#8JLbjGN0Vq2=PfR~y-i$X;PLQygLqr2RR~o(fWA7^(GmhfQ`|Kv&7W&)gBwe&? zoDcLczv5>}pdg`6%&ID2utnSpWF2^;oHlQqWSqeM$^!eom?v$C)7<14K;k0ws-HDW z<-LSU;m~ufeHs;)xoTt;-!#AY`J1esD_Z5{(f8I`t;?SE>T6c1p&0>0OfhvxP10w~ zuS|T%(~ec?2Pk9$p3Wsf(m(*i*{H80CWqml?Qz1RuJ%qD`(h)?B1gu8S$bRXxnN^Q zu8pe&)DJ-oI*W>T{vmE$&_ieG=T^jE8t&@)=;E%*b) z^;Fs-!9g$DAx&(vChwarM3$(-xRXO|bzt8Iy$T-$q7%y%qwaB0% zR>%!V*sNhDKp2vdG%9mp9_%jl76A09Si5@utPLVd5dEQ-+13qG{rWINQ1T!?CGiF) zWMBmlKZBJ&uBu?~ScEV0;Mr~mK3CSFs*w-}ys$GBV7kaPHaQj5r>_QSF#qCdww$@4Zt{+cn?gUbENc+4}ybMtAyxm($V zHU`YWmahPFE6fFQ?(kyIoO($XndBeNqkLTQ;f%=rX-S6O^JDO$AA2iv9IY zhAlM^rAFxg4660U%e%aEiXR1ca#9Dmss%5FeSvpB&gXe-J>NS2b(%}8{bo)l#pDdp z-04v;x#3lIB%4lUy5q5%){Ih9MZ~)Whu=NS|IR`l?A34pZrv>tS$?%&E(;)n)^~Ia zQ*uPqI>p8Zi@G?t5(ny{-v055l?2C`cjLACy7M8r?m{m;80Gdhhb(Vc|89&q#HYF> zC}}=~9R2lydhVz1N;$C933SyQH;8Z!AF&ey|M>T&(R z`(qcmKI<|9%q0aM=n6DHsJ3jHSFsz0KZ#+7wMa48+l+`GQz6|NxCNTo;3a7}&+Cuj z3EB8px;jaL?QS7deGb^dZY2mX*dttL$6&?9Y@0!lR{V>3ZFh?@pPZ`+NR>NouSGvc zyiCX63kNhm)llIW7v z6Zd1-5*c0jQ5||OCfYIsb}lRJS)%)7;eAj?KlbT5I6H=RICK_iclFVmw_>?~P<>k( z+2<}wTK!@*41s=sW8$!%{II%xUX9rO+#%19+y&;%8N(D zOI`E)xUHs-KR3b`L_1P11?SJlNq)Upw_f9}@?>8~vMz9=q|S&SQT3HaZ)L<2bL&P= zSeEFFYTC^}BI~zzYn8&7n;$t8arK+p^}RdS_AgHz|8?`j4e_bPuwKjKor{>;MO`T| z)msmnx{%>3|Mjx$q!l_}bRoFA{FjECT)ySZ#lb?=-hY`4-V{XWzVRgxPQ_^2c^sp`}WK2pYI-W}CeD zZD|qj?|dG1@U)$o+vnLY9xt%r#T7bN8Q`<-3N!pS95okOugYzIymCf3|9Ado4h*B! zqFBQxfTCy!un)Gi3h$J$j83nDSNrWCM=QXGZTvqzJGMYN}t@ z$J5>qcwP7Mu-s{)qHU4^3o@k5e29w@Lpp1jC#A@dvwPb9O{rGSnRJqcg&hXFhBphA zlfL-;2^r-Xob%?A@6fj-+N4Lz`HY!e$^$yC{MS4!^iE&@KN(9v$NP-hd(U3~&`t%)uM%6<-d;T@oGyL)0j_WGcG?&5Quhz9 zn)-F^#r-8C^B}W7D7BN8zfW(C>vaHTI}qmw^!x6U?CFE{N5OUV{T1o=JP)55RDI9o zumxoNT}hLT;EgB*xXqfJqu}8G>Es9AX{<@e_P1>|{SjWw*s`np{x##o+9E;!bp@o7 zgXP$}bgWR=H6vt0cRY}>ZP>-jZ@jye{6mWHW@TLYclYw~RS&n`P& zQs!F)ywvzxR{T5YB8dHzWa+B2zAU!rxt4b=T*9TXgjvi3OLwLQ+A8EP+(5&$Y>MkGk=m+y{V$f-Qlb zz$YZDx_yfCxK(L8V8GcdZ)WYwWd@r73(COZ)UY;A=l=kalD|K4^;n&8EZN$0Sq^Cj z%C7>)&*0h54|fr14Ue*$MR}tq5{dVINwZ~j4!Ce^{P$1j_d{x-TUR=D)-`GDLT1eH zPrY}X<2>i)!nvR|7kNh*qA7gQV~*V;7d2Q*8zSq6hmjShAitgemiV@cYx-?}ySC2Yh{_qGyiyw0urnJ-LFWuWR=KK_?%7GB?^29}NV#~@gKT`lkGAUt(eTQqBHH2%>U{5<1KX)v zGepGlQm~WW_}QBO>ua5ozYmZfH`HVI!_B{oBpF^TVHJmhZ9Rr%1}gu){Ymy)snaav zPQ|5<=^L{F1s!NPU0Yd6HfzF$g{jvOLL7r^LqPV4s6)UD)+;2zF3v)qDffsjlO^{q zO!Ogd`k8dfHk@w-Xavfgcp@+M$&G>L9s;u3V$=<`*+a;x{6m$ja*TncM|;-?++i4* znq(YrK|ER)IE{bMAo2TB5K(v>nh$;NNn87QF*zfjz~oZD{&ZAfJUE=+5@G;F0&PYY zI2dZLfEOzt1zcYteHKMBGNm+BnM8H1RpmxvB|tWpwO~Yve`wx0k}PJ&vWXx#Utkr& zTsLJT`%5udEb7(-UUoztlQVIbgG@5em!gdxu)DgLNAV4vakhQeT=9Ty!quc1J0F8C zlNO9jR6DbdUYA|;VtJN|6x~Zguc;9~$9eHC?P=lOQGUY`oq{m|cAlN^dNQT1QZCWh z5@_fd8NcOkKJAkERlJGv$=uhDBo6!y`v;Ne1y~A!8-GEg8yPw<0S53}Cg3a6?ElXN zWJ9(d@*Rk{94N$NaKro?0(hOvD;+&O&)r<}ci?X;%Qku2ONrnzfyXeSfUI}PszIW? z*o3Nb$vt<<7U2S(&y6CEm@%ey5MI^&3>+N}W94s?4(F0PAb14iViJ8F#WCDsK<>44 z0OBF*Z+x4>VZC&Ek=)?V7U%(HVpTqoID;yQG?KRefq7#TvZegFpaJ1DBJl=&sbT4q zoLFuAP3$A3dhOj2>@&`Dak?}>9>C>|SOlM!vC06ERL(#QaU79Us`#jhTw>^+=+q_$ zK(>%KX`Ry#KFj+C$g(bK6E-u>6{mkD!4U`s@?_&f47mkO#SI^mS569_Q(9fAn!mis zzdVHx{v25gd1)TAXdpgr7-GbJv%H|HmRSv7kJ)yI{n#^B1@IgY{b8Q=c+{6B+=h^W zzr;MdJfFdLqu?tXpu3KRT9(Cm>PV47c2m%EN0y3kWo$>6kY>6+2R`T=6)x=bE|Yc5 zNsaZ|>ZJ-b>h^u7Pe~MW(D>@UEkY+_a_{ptd&A|w5_{WZhe;PT-W~FS_>rSuX$tE| z=Fxtfm&}@2$r*N0J24dcGiO32FHh6OsK}_LV6J~yWi3=q2FM?|UP%c<}nH;cNCw;>l(RhhE>A``H( z*H$!FHQFUopEj2{%N>&roqH8ZiW1jv@w_88A`=A(mAn?EuBS0aR^MNl2EKE)sN9T1 zvgoszjE0t2HJZ1*wlx;#v~tFNmBiadS{d>)YYwk_2?Oyq8*DG(D$`Pb;Je~^nPS|D z6vD&Ivh{PnSGf;8pL2fWyXN3Ya3PY?r29soENi{!r|Fr0HsMiWr6C@m)5FiTes`96 z98Bc11O&iQ)34aDJ`<+$LO{C_#Q|kq_6zih)w6=h;TOO`TX-u z7pu0-J|*&v)k-diGM1D6QXCRC!cPzlhkDN-88rZeonf6VVLLQh;J&8vVrs60O@-BJ zJYBT4r2LP6pjm8_Qie9fv2*HGt@mMtb$Z0RtFoLA`B3@h1&EIh;C}3YqxRHUhL{|x z)muS3%Wyn?8bYIHSbN8##EDOqNj}fD4Ay<32j6wO)jkg~7HJ7#oMpTD_egeBB=1l) z{<Qo>a*Q%x@cU^z!WyyWz*q~>Vt8(h-PnDdB%po6Z z*O~!Va_--%LehSvO6M!MwBrPVL3{yKOkQ%aE+y-(c?aAqScMSQJNPikW=5zacSU#XR*G3C7_Vb7l22SFnvL||rJ9(m>y zn7hr=D`CyAvnLm+88Pl1w_G{`I)uUmOd}l{kq%{;!;5a4L}~u)1poR(CxS7H_0!!% zq348SIO+7+yp_*(CM&LsM+AcGF+l-i$ywj!Gol&G7r$x=7SLbK-0Dk76oJfU;^b0C zeN(pp-QqLmYCAQ`5p;(evdlBAS3pk>lYazzn<c~BZ(`M*J#-^PHYH)ZO!$)5DNEn%WV zD-awd9Fo##m*szB9{L%`?&W|HmM`3N`128SfAx%U&>)~=S=Uc&BacKB9^wa(^F?D$ zWT%~4Gx>%Vr#0d@cmZi6g?GhHyVU0jS3KZ?qU(i51is*c6pu`a2D4uY*f5( zcW7^vGu!J3OMrxat2Qor<%r*UfljF{OJ*K%#f!S73jA5U`l8?pD=x8!%$d?}%4K`^ z^0h92v84(9>l-lwyT#&0qP0Z0ibK#%-YjFd7;lnkCp8{^PEfR=_~Ub>K3298T5eUw ziZ|H;&ew0eQM}rC`x`RA3BEV7?&n6~lXglUpymoS-Dc6i0yWt#4B29VGCBBnV(}$% zW-zkdJ+t7Q>b<+;mv_oK2O^c}31#J{w&~aQ{7P>{wX*&o>%SF-6&%*W#XZCkECjO= z)=8f#Uyn7H8tG-%+fYJL)=a&&bE|Hq(d@T9kWdJ%YN6-fO3v2mwP)fiDP^_F#^UV} zM|FZnA4*d$+?@3PZj937`%)ZG>)y8+4-J&h;c&X=Z?x}kL{qFRw=Gr{@$GSeBJy|; zC9+C%h59L2=8 zMq|tyKJ~OZa!fhC6vELz*3I5XE(4Cw$YN{CyGHU9d=O&r?;Bw}z*l!~_wpnZv>`9; z@?i2`8?~jNKN)q}5e^kr{F8z`>dLX?0T7X-s0HJK_r3zCGFiPlgOvD}Ll6)z;0YAy zcW(R~k%VgSJTCvy#D+6EEVrYzJT-z>L~xJxd0Psza^Cqevo2}PWb3LqM401x&My!U zLm99U&oTqyGtyD-hXoh$l2Nm{5)*=r;^1~^1riexlN2~$ne3BggHX&Y+s3t2Jbof; zpIKQU*PN|;VkoI>m?hL&iwLH6c_XIE|W6CxxR50ZNm!;9?WV`RAO-B@j#o z_Du4rm!t4otB2U^3W3b&7*MWPr6MyF?3-T^OVu0&svuo5qzXrWyJitL8G^lUuS1 z7S|i#oU(B;_tgV;s$v7mfR;=tpY2rnl2a)_^D@QjZv=;o)R&oYS-xhRPp(%*q}gD% zH-9y4oakLZkgR@Vvs^q~{WU;6$}%@cvC2G=r<=<52A35wL+t@t!Fc!SI^JsoC!eV0 zzI-&{s+`#sn-*T7bW;mE7*r`;MkMkSb^BV9h-rm%7?I^^Ho%>q_32(xu)8CAU7Drn zyB}m@@2^@Lj8B_M?jFo4zewIr^LSFD88|-!ZzzOye|BFZlx>CZ6CSi&!XqmT)}2KE)gIj zxMbE<8@gZfBOqEWN~T6VkXyDpZ%R6Lr?Pz_CC2%%68rWB+aj#W=yH8h&_hA&t%i5k z9`LGum6V zAloh<8m-qWqkq>lwnE9otTywir-YVnacdwcR;I#Wa!Mj1L>(mq@s9Xh zmnU-{5#Lk&Zu;Wgit*|%0jw@p$_&3Z4^$wvqkqX5B_P(Q|C$p7BY#%2nEU!f@=KkO zxZD!?m&$p|Cb1fkP5_Q)q4twdvMJtC$FhRA2rW_fyw2S6`g-vcD}F0UH~RSe^QMBk zNZbWldV)Lw&PORo3C@$V7!vjhms2r#@(6zTO=-3+k;w1Y3t;Y6O)@LCd2z zv>2p=Nf|#uf#jfQObtQca?Ta?tP9Enjwqi{59L<(+mb_?4GH8r)v zB?ye}_jYoU_PL(*nIwDm{snr=*;y|MHM@m7ym{9ud@`hj3vg;k%kD297X_>2)Ee^G zCDcho0LW6UYg!KUFVXFdT$g;l$IW|hFN9322$AG&lW~4Pgf0yx(rt< z+vk$T6~0j;=$vNC+)h@?3j^cUc(7e?1MlS@X5(H!2hP+lwGa)NDK;Sgp-$I+!g7r0 z&BOdLXH>p}X}$XSsOWqiHuW78sNU9lOp_X26FJ(lBiIf+aYSCdt6U#nFq8D1vl3%m z_~tvOE)M#Kfe;l}f6K2>!QD(Na3$J}yA+HdZCES~4h69e?Za%+(~b!I96kNjg$rHo z{LH7ELi4uGc1#1LZJsW55osgG=Xbs^E>3b6Dl+D3BRPb%N-MRW>kj%K09HV$zakP+ zL^Glu%iZ=AGrg59m#yF|Nq{Gnc88_yMSxLN)N#TExtQbBFog+A(f>2sF;eN!g#Ze= z8JQI5X2ktR!r70u?$8e?0IfzNts9@3#{rGZT-vF@wPscu*N*qIkFaCLNoSgRx+^(A znG-}nBUFGy_oCPzC53w$+d($?6uHLKm4xSklM+OQ8_AQ}Q9Bu96;PF+huLS%U3)4& zT|4^|o-v$$NS>39hdr$a^C7*4DdYj?9k&BZo-StyOA?^EmOkCNVKt;im4azxaoiar zz|ID8NOXf;eJ~Lf2goCNYFr&ZP+WVe#i(K*lJF=n)oYId!33bzPHG1@31*pdM3~RA zg)FEuD)>{!XhOK5U?-s(OYBB|BWHN1KsT!&v0Hdm>5`2g17_h18 zsgbG(KnEzQpsJo)2Uw~iz)@%fm@HSzR&up$MWfP%@cUUl8I*azFQ6X20jbfj5XlqngPh@EQ`%e@9@K@Hp=Y?P>4gvyUnpa}0Ds|e5EzCJO$5Yb7>ZAbA^#=^ zMsoO|Sp*UVgppx+5&u<&Jz=p{L^vBm3LbV}1h#NLW2q150vHgbc(Mz~g5&;PcahPfuhc6^lIpwv8b(y6m zC}>(VqP{0UT8EmJdiZmEj1DU}mLtptGNPuHIBKlsA!5lTZH%GM$J!pghkD|m&~?m* zNYP3$s)R^#DRoJgmYgPmcBxZrAU;J*a6begHh^CW0^BO63l>V4F0D*!sM3XkD_I4W z6NZv2I!Ifwti%!V1T3M3vY}`NwE|bbp>;*JB0)F-VUFFhiuR(ds4gnzm>Og2AKJF& z5ZH>)VRgtFMMnwpo& zASHf=g<`SPE*OiXLFv#hpJ?Qo=|CNgtL75A7Ookc=Mw5fojji9I*g(^#i++cxPGjz zjxyT6z^wuY08_c9p3o@sI(Sy2Plj<}yDmG=>n=g;q@xv#K`On*FTo}UlfCMm-)M&6 zx1K!C4Eag)7(K_rqY;Otd>0%;(n34|6DeyVk?tHksrA{|P(5R7HX@QZ9X@~*0!py0 z*osSv-oy|(hcRM}Jpn3*6~U%mH0LX?VG=+`aRe)Km>dB}z%Y_5-Vp_X(6_dcJg{cK zBi%%>FMz$V+LW$)#2VpWn6PsOJvz4D8ETAm!22h&yy~z$q{3nC*bELw zQ5C9->|0g9(lRJZ)V1?FmIn692(Y|;MLu7ZZ5 zVZ53%&8w!`?Pjk=6szIIdL_Ar`)Xa#uf71YA;60D*oLjbz>31{hANzIxD^103v0ug zZFFD|tDMo0Osvb{RLSRItkEIL${==N*I~=59ddiaVYVT%eurKlCK+W-hbgPZ#j>u4 zEg>2LvrY>#E1M9TQbzki>@%u7kvZ#JnyOGBOsHK7>mVW2i;yFE-2_qS(Hc4`txan@ zE6+j|c8gbmK_mzn!99y(Kr3nm8|t-gIbkao8*r4Z3`7j#g|?SB2-Ql4uB`&Cz~QcF zv986?Qg9%m4#(#BxRN6dha);>WVFeJ!vVVb79MS%L$x>@RtwkCLpU5e1?XCEsC1lY z!I8U&v|WpS5z?NnBgX6+V|=0+Z72$LRJ6J)Ey24EklbhX;&2QBGzp<0r*0iD4b#zu zV4;x5g8;+EP^2LEcy+jv6g?!}J{BB#2J#AGDz6}Iz@gE0w7xBg zVPqH=IcKv2XdOMNjRgOZ2>++7l7La99$1?gV(IDmkmn0(`*_A2T@y8Ikn}I z3Birf5$niUvGpMwRm=!ea0mgAjegTJx)BZsx6%VVJNRu?yWe`A0@D9Fpai&a!N^hI zW-AT{6Ht}l8OsSa_|&30UP~AIl4iIwDSGl=EGHwbA5(BpuMKTmTX1-|+=Vunnsg=b zg>quVATjT)6Ch(;GbC-tqx6I{$4rD<)<#pl2pIdsFtGH>9U;Zw5pqQX+ei|hriR0z zWFZmW4+U{YxFHP2#*^O;V?uy)k&`YoBgY=WZKyq_RE&$%47Eyt?itUFC1HhNGuDix zPb^7`+zhqQjs0Th$~!}?a--)49D#&iyKnKi@U4(**l0FUKoxLoCZ*uci`(N4V_85b zG7d5wl9iV`)E+O5uEPP{;5I`o5$oA#x_53_D~^b;4eh48_6)T-z}Mr_p0F})aGUAE zx1p|&>tv_|jxM3=1WZEnC)JbFiZC2*z=7bdxn(U9@MG4x8fu`s*&?{3r<&_~`n29@ z2&&}|6m{ylh3!g1g5qgFC{6`r-J$pvB#MEN8Sof1KHn^85V5!v=;h9O zf%L3SXhyJ893dXacNtXp6z=R!0ME{|G;hs&K-9CZRYt%>6fv-b%Dc7pvq0}!@y~*- z*h)hy1E2s|01LoZ_!ZxZ&PiA#v^mH^n}=4p0f&6?(4w?xPU2c{kOZ}bPb&jhv~LbZ zd+YwT#O>JI(cZeMCZ40PfV5~YOsfE70cy)f8~3`s00u3wJr)?KZGEBIp0wsguj&Gb zAVEbAFi^b!=0(ufiPElT@2`Qm_8d3H*W1%l0W!d+qly}skp)T%e#$Sz=xuAfLcY4K ztg*i+m||}mV(XN>@s+fOOCtZz9=bG*LYs}GYV z_EXZWh$j0Qu$%-Tb5>|Iu5Oy~`(`6=hPi|B_$4idaeLmdG0LYg62e?DH%5lSkovS% zCU1ddp0rQr=vheYzQC`U(a(l6iEeq=kZ2j;?;5cJEG0qB{8hxb8Gi^KA@r#np9J-r zLp&F#&hdMX<{NY_MgsoEzG}-1sl^tj4XxUt?d-b3m=}wPgs~1sERqHAzbwh`3ji}1 z$Zz|b*AzX=W)N`RuoA9>(}5FwBSlUWaKg$Qkgu3&b7+|~09zvhdt4H*2CQfP8=P-O z_iMZu5^%0t_m;p`4_Z*eYMC;CG2zKDG$26vtM%=)k+0MNaD*SpE-hhRM6vm(LJ)Ao z5i39oQ21KCQt6FRwkW=thEE$DKD$LQj^Wd?s>{y*OXZ>=d4;A)!+!W;& z5s;e^!9Ry7v568LB=C8YutE^Wi3!01tiiWdp8*F)yMHZ`3({C8E=bkss=8FbsB%CB6m>(*Y4Y|sCuapBzNoW1MNomOxU)OO%xm+0!{BgJFa)#! z_hldahF&YNL`I-x<`pl%JJNJj5JJc}{FAf*cm))Y1#GYx?71-nv`R5R=hM3M7o87& zF%H8UV@Vd_ZO|E2fGeR8_$z7)%cIFq5cNSklLe?23{6A~E{z!Ha2(2zd@*_j7NBir z0oo=iQSSmRe{Gew2V((u$S$!9&ahSh3qS-|0qX|5u@HNqS_C;F&CLLP1*`*)m_CBV z1j7+)0a`!CW}AuAp~(gW;*3IMr*7RSXi zDO|!H;l(`wUhK&ft)B@BfET*}evJ#zQ@{Wz0AGw3t22Occ_bL`f`oC}a~O|qUG8Jd zkGKGOF=Ffr*lCb>`vM@&LQGfN0wtO9Hm zNX1Yw6XXD$@h)hEoECdU2m!t6#y!B;+8f_XJctos1*~Qd&< z>;fdm+Xi#&9FxVbaR^byBmkiC5>j7GBPsD*+(HmXC@~BX6OR=S#yO`&1b5)^NCE-` zD7nmM92yTtu(2JgQr;U$ac_Z2d?BzgIcyy3#2Enzkp=9>JwSIHTfD?c@jy(DC^TBl zC>+m(HCKdZ3>t?e^znXdhg!(;o8#qe$j21&aBLsTXgG0pyo6N8!;1vJQQ2=`+Bp=5< z1PbsH1IgymkxUrlFC%k-*J;XBxlC7v6QTij1?cqJe&mB$nlMg1_k8Ec|=H( zAXN|#h6}NQaK{8P3Sb1Em`a$lCF8Tq5_$aB`r09bW;8vZ3rKGslWDqkID(%FJTxz#Y4pkPu{|T_ddS_eC!q zB4JI0LyHBU*%P@mMUlcXA37`ur;e@fC3p9LK^Fo%|=i$l&s99ERW<@`YoeEHBKP z^6=6EJjb)K4FD<+FOc#Qf-y_VY6-{e0(i`Oa;ofYOaWN{NJGEw6&7-~ zE7x`Nur>B1HpnA!V7x6u0hlqAtR!4?3{1&6mrt%q}w%kTefE zOEU{FGqV6tKvOI$uf!p;W9%gB#aZ&tY!?5_Yl+c(1t859Gu3P%H_b-#s+=|}$~nNt z%ml#7L%<5KRm9DEveg`humC@^3^OYe8n&{|OwMpKh>bU+0Eo33G6*vvi^ju7X$%tk znWnJM*XvCC0$y?DdnaQ>`64+XDEMjW=PJ0`@rp>6t^Nv{)- z1lXZXK(2%os|5aYmqU+G5tWTCj4LZ60JJeOiVOiz1f21w9U_u*{2&v<5MC%2Fvi%S zBQ&=W1fT%1OEqVQh|noC)=b)<(1x;0tc(mtY1~OX#&pms!BR74au#H({PwC883uF9 zTtTP+{RLM6+xD~Ki(l|V=Mdfo%?CuE6}Jj@u|!V+IDr$*MEA213l41(2pHz376RM8 zIv(^4J;oT!g|Zf%5y&HO2@2gR(R|af8C{Qv(Ks;~9lN;EX!LM2d6Cih#j8?BqX34o z!5p^e(PFd>utvuhN^}^VMyGKGvsU3ouNKq@&39j>#YsR;96ziU6@()#Li^5cbdcNx zP$N&Wdq7DK&Gbl@{Ho9ro|z+^Krhk>w86|sqtcBugR5vr&L4HG^b26)WYWWQoL}P4Ch~^foO_pBuaMS%EdSO3+Jq;cln|FTsplEo;$;MH$j#YSBebz>#Rc%ObP^ zodOISV@#{mKs*>UfqOti$1a7mO7L#JBlGl!)h^d52q+{nT-y#!~t0I@_-$DDxD=rI^g>k55p^jwTf z#}8UH8`4gfONmPnZ4zkG6+|a(U69rN1u^leH~|TCQcWBs&=&O@-CvE;PzYa*V~o;h z^}V512i50Bv)oj_)#c1PjdGF%hP7HD#Jvi#i_Jd;5#$cXfzIO zf(SGxwC`+Lo7OnNyBUTg20cLQG6^BpC-h1^7o*im0N@-J2-e)R0F7I>)NaHk%@5}; zylVbnT6Y9C^;E4A%+s?q%DK3i&?tayy-MrR= z9X~uFJpf+PRX@@aHEmEByAhW(OD#GR&E)iWU05dp`$-F6UXRyiv3UJd(-C_GBt22L z*R9g^qH^K2B7x*Qf@B1pvwy8#J8%H@drgm=FD8LVFq}jIJ%9ndTjK<0#eJ<3H~|!P z6A%Sl*pT&D;XmNe*UN-mUT4)E_J{3Hlh_vue+^wP0TcBj{e-a8F~D|#R4^GjHBwjk&_ZPg&O7M>O*f4eq6H!M2DFB@OV#nAb z^b>L`v(%oOjBOl|!&!D+!vwI@Cb9@UWK+}}HcRbB8`)8Ift(go*;Y1M4P%4ZD1eqd zX5$;=ah?5Td)Zs|p^Wqj*;IB5AkC%OPIY&%V;|IAwN|}gy9c8+FkMZP)J^hu>|@^> zQgCKjQLEQ@43m9K!_|+rN-$}YF+MgX-DAJdinWm~YHQXfv|jHme6y&1V3-8?N<1?h$pACAaOXoC6)*QmvvU_CEdbyA zbL*wgjLsc%6WymWt8Efs0h-hjLTs-kTSWsk6f4&zK^72o_W(r(6F?7N-SPI*+%ku` zQZv+jMcJWIHw(Z;6U98Y3IMct7wvY~ZS$bbOGXy3WMs*5_mB%g>=kwQlCgFJEqR8e zu~o1DfHNJf9W8DHH?o@;xY1oVOTcwA(UHc}a$YCgx;9(PwX{kAwB8Z}Jl<1)TGCTU z(fPKjyvu;wS+>2+M6(1;25h?rQ{IGkdpdak+wsNX25*-o=XPMzX7bQC^sDlA9@g=L zQ$j+#l7R~tIyzEyrlZ{ePh@%M)aqE7x{k3O>=?+XR(RGx@GZCt!$IKzfry;zbKS$U z;uLpjMsNcJAu14xZ__c6X4JLbNa1*O9WI23(*4z6$i#v03b^v%7{Z^R*S_t zafH!Ryqs>dNpN7CFa38={eS=3@k3OrQ@<*)OJoZmN457ifUFY-;1PyUae&7UOP)4K zfIr~*w*?-6KO~m}fSj8JeVH|^GlOj4OI~LX5(LiLf+e91$^<4HoO0EkOi=<0bB zx@90!FmiXyf#FdRNkGE^Ll=G&GtB1;u0JHL>vs`ugclH7!4J-yELL_W5#R~+iXYNO z77LjN{m)cDLRc^pb(4rs_Zc8rZ0_kgyVmcM0C{y$9${a@6 zolbz)-DE5Qt;8T&hiBnMfEn&&5ePfD8ZHOaY!8YZLQy6Fu3r{zU`+>NLT)gl*p#Xa z8nW7v;X^r2)0R@xXA(@ z1>!)styy1u;5T1@v1jNSWOy8YhL|KNBNWg-xCC}5BEEyqC;?#cXB?b&*dPXB<2Dd( zBwOHU!FR;%P+5zs24_V*k^YhLqH>ST4Yv(nqofRBmshF;c<8fc2+=(+f_(p z6Mj^gIG{#`lZ55CC!UC(Q}XVe_k+h-LUE4-DcOFqNhbb*a1eGUNw`FYWU$}6CkN=K zSb?iGicx5d`J@( ziZt3io<@7>{Kc-7QTen9kHGQm5P9bvg6E)#e;WOxXN?MhgZUZGVM z%;k0`Mb~xA3;@t^z%=CJx%gphchX%{xvenj#pQdsjj1HtfWz4#%t`E6t}GqRAGGKt3Rs(XNR%E6 zm+sJGU;?;8N&@sopvMqUTj*hjWST1gM$VnD$z*sy^erGBcNrr`$UsW>qMR|Ej|4Wj znMS8g3yaqb7|hn@xp-!4gRABC7H{g^eO9S?W;=}Kcnbw`@QGJHCHToGh#yyE_~V8f z3YP~I3B;J&=yoRxusX>AEx=3udYyFRo-Y1@*x zkfP9|Z|QdDm445;2o{1LbnksoBL-^@rIX=CfTl&x-Gwh13NS64E=KuTl8W1%G@~1Z zH4TpwTwM4FYUUV3jlQKT0L}QSp<);&l1WldAY^abTkL}uC}{pcEAd#~d>?p#VRr`T zH+W~DQI2~*`0!bR`%|sB-Pu?%TLr~dpo{wtf$c6nP=)A2`Xb)>xyhOqCN0hEBw4sG zu84b!=SH4x?Xk|fxJsvz+nrU@B}PB&Or(;ZHv&QGKm`!dlb`4KmMRC9c!6#@wJsA_ z>oP$Wj-%TJEMHlIKW!k(BZzLPuRq$lO4zOAPetqU*e=_hA5aN?ZWu48%V&nE6Iy*N zue#PAwLux$~pb@#rzB+SWA5tPv&0(oKBRhfx-pmuA<@nb zsoUs;4x4Uw7L`=N)C5r41yor-q@~*gS-VY;h4bpxp0A!7Tk9hMTY{%Aa`$;!g#@AP z?iD7z{#B9}tIrl5Vq%))mDWk(lP$q4**8Y4%;YGkN*s!@9#S;X$dYMpF#LQ@|L{W z_jP&la^J8{OAu73vq;5AV6^f*Isy89gkSLCFbo1#6ftN)Sz!{K6(Iby^0Yd=Q)|?_ zgA`Dw2oY2I$R+iGluE=$Ug2x309k;qfv(sm z?jaJe1TeHY{1xG@B=K4A(2~R(ElE7s3;Htgl5256#TUCvi+kupe6&(P60g!8VQz02 zZ_`>jTD%RR)3O^;yzZLBKa{hkaLb7JEMENZ`eGEXKFk_lk?gird>MZYhP_lgG)l!A zw=k|1??b$!UVI?0#}k(V3HEx(8?SbRJ1Xxq@*->}++gq;%*G>s1iY^=z&hIK+7Xz1 z5MOMpJ(GN`@xy!a%?2cYnO++_Bmw-xmYP(60Bg|Y60LkqXUE%++eTS(E@+`i_=WDf zU9~Co6AnR2;S8V@d4)R{S@^|kb$Q~hqTjx+KjdP#)(owJq;JMY!@CLflKs3EH$kRKn$d|(IVxfkZ97p`r zi&<4nhCx_A|n7!57}feO+=D41S_QlNl?GvRT(QlS#q){ z^_;wnF-0WxwLB&-1grop24BK+Eb#{c9~#u31#tXXP}5B{P(23Iem?a=y;Y-#!J)^)vlaKf%z$Kz&tTzib+1y;a{Vzi4(nA`it07(#$oKLj*`LA@&2#`nHgM=c-1 z-t?CxS}$98GK+nhq14yS>?b9$)t457eLtdK2K96X98YJA^)ky>AK3r&j=fW_1lSc9 z=?aYMO!kodv4GmYN1gr20=NA2oqcLw;|hJWy$B4qa2E~+c2NQqok4vQ&|d}jb8{Qd z*hBJ&J&2Olw;9P!QLl#__2ABA@6$9;T83m**Dn&SeROZvSHdd5sgq_%Rx_}2@6$&& zRQ+TB$p`_|eQE#oR9)=E_grPkHhG_cOOPf_I^FP zLEH=WFEJed5`*?0S6T1KulCFRU9;93^}Oav!`h$s_WgIi@?7^({d!-^&-c~+$`jsW z_T&9q65sFl*F9yg;bZp{{;4z7$Mv_GdtW#A_ZWT;BjU&Pq5KeF-zWAc{)r#eE1B;- zTwm6!9Ssy#-{ar+7k+;4;dA%nuzP>%_%S~|5`hAs_ep+tk7wKXB)yc+*PHhxz7va) zH2Gb2kl)`=`9VGrVC5(HihcZY+0XO^zGSs+{t_Pknh(b>5^lZ$>XZETO(%dq*~j@G zsPD}HyjU%H+_>*1hq)O|UXSGL6IfIJm1F4y{4oRv5cH*%L2u5!D!!^;DnCc?A;I~O z)>`lqeWu^EYVfj%+kysP4h=-K62iBk1?^5Ompnaj%SLZY{8==-4bSwhmn3{)Q3H@G zKWAH^azrzNF&VS3a4RMG@XGNXf%K}`DEwow0=V$%+6sS%WElk%v@zp}Gp_P3 zQDFd`>Iz!2uh90!FVOx+@z$`2w$;eKd3VVxvU_9iL|KkjZNv&)a@1&?xF_kiMh6jt^5@1o*UX zDR0&zNi*cNzs2q=&m*qC^rG>Ng)5KPiTnK}5*9`*5k>wf-(?WMo(8e0SKOf(KNev1 zzVeX$t*^Bdv8KGUUqY;>K5PoxW(fNz|GbX{;9M+!AaU!nwDwDef3kr5uXH58@f-a) zzL}5IK=O>eXD|f4y)|;9CI(eoJiJ%l$rmau4F?^vyk658X@n zX1^d);S=x_dtY$CE1?NI$+^JeJ>9njp}YjG;`idxfoKFl$PIw$YxKiPT$NFyn6*1>;KLcl4zR3#M$z+98wJvTn*+Ls_U@^Je> zD}+tB2A|-4*fTK@UyvuQEZlG`99BU6OnU=UT1WktZos^;a`%N((u5xrEHMzX58lWd zSavIgj{yB!lDPpn)(oZX5czoxD7{_h-{IR=75kot^#=CGxdf5n8h}HQP(NrlEft%G1M?S zSrHrwFpT~}!Vmq#pWq~TG_nq*2nC6jK@g?c=|epb+D-I`ABi|(E3meE-N_qQBj|5KgbbJN=u5O;sdxET@LdqO>g}2w@=4!8UPWc?0@akaPzL zvj-HRAmFbcIK||2fv%QyM64kjF;)mk$RaEyoCGt8zGoJd^1(+y0|g{c1V01{pzsz7 zv>C_&!8^kklf=j4sWFNOOKclHSXTsUAR3~1aSV(#FyWYceF!>2(<7V#Vfsc817#Ch zfCNPn02<*4l%7!#9%2EgIl>U(Ab8hE2;w{n8{v$QL@{I0^$-jNN(2KLoP=c2Qv$$c z5mYUFux2cxz~;qGh&3ap@&mm`b{Me)nBYM0ya8bXTEqo}8BLF~8SMm*04~W;H72A~ zMHPHRQ6r#190_O$eZUBTI+%kvum#r+#8cCuJfg;2d&|qP(1&U2YfE3StVk$TY3Kn6E11Eycl@1mID=BzxQbsVaFoNYLOhi5K zFd>Dgw0txug9i`oRzL7E2oQ7(4g>{QjR~z_7eN8grHUzei!u~@BT<4s5KL7WL^#A> z{1q64B@aD9f`^=0_~-(0J>LbuU`+=w81hLp1TEkhEdZxPQqUZhoX9meBoJcU2CSF` z4ZyV+j5S#X2OY9O29^l`sc-{`G_2wj0K!3t+~bbA^2J&pbtJ?q&b_czH4EFKyl^`$ z5%5-Bp~&D8!5_FDG(l)2fIaL%q{4`Tfz@C5zp=rfffqDxgLuU{I8|YH;a7@Q(z$KJ zV~`@)Mv&GNK*kC;sc;5Kz#oVaydsF|l7j9G^WY^YaHXyZXW;AT2Wmi`L#1Vqk$-|) z{09ME-lFuFy2c+Ux+q#n8mNI1!9U-WXS8+13TNEG5+rei+@NQM9zhK3FE|Ecg!{pN zAh^PK5$Om}3@Bh%s+G{sa;UzrwQ%F4ghKcZiI7XxCnR(ft=xkyrgF*YW7Y7& znA}0^#&13i5P%54US}VS0f+zyg#JKe(Nkqj*d62z)_P13H#P^u|Ls)R9^6T^2U&$0 z30L8La0w9D;$0|*P8Wm@u@($EmCJ1Q_5f z_KZ-ST<>dFsB&Jk%rExLG3!>I*VK(4^`ks6l7 zvdzhHAXpNt){3C)?snAoyxqnXd?gH9~Aicj85Wo1lhW!#rNn z(2cicm>UA}EEoZ*y9Rtgr{|DR*KiF*8j8GN6^x6OLC8brl%pnrb3@vf;86Ya!=W19 zzH(e;rUl=!<7)V^pe1O(!VP?h5dzO}Ig^XY!B7%*QD})o!eT-{<~H0#6cUsm>?T0T zSfR*~)==UV5t1iT2e25>(09{0j9&mFP=}-@%i-fGi0}sEW04zvhFp#*)$5RLNMDku zpe3qQP!4Z{x&|i@BK%2+4tIHer7*+Cs?zcVkO3qE?>vr+>mi!xdU&6}Em#kS2+ozP z8TjzdgK7dFmJbCH!3b7HYoh)JVqs-GCbEYc!J`DAr2Y~|Xbj$Y=!0k_1D3#{Yj$*L-b*70+|&Bz%AsHtXFYD z2%-8%T=W_Q_G}JCVzH)?Lk5f8g+F}Ug}I0kKZz+q4=QxHL>L|N5Lg+}9nBW-P$U-J z+4RI3riW*V3ZfL?$mBreH}F?(f&G<|Pj+RM;cCGx!~nF1XNl?|_Yl1D1UMp&52=R{ zL|4lbU*0#RaBOcW#F0y&Av!~z8o@y>Hav}(W? zu7`U3=RjYgCC9KU|mBw*bZb4&J|*T(7~121PYu{9lR5Y z3jKkk!Zu-VFg!?{YfO*_7hvW=0bw`7ekkQ(4@%1<8Ii*HV97@cfcV=BF!~C5jlYs1 z18=*;RX{>u!`2t=tXoAG;$;{!tSXw98VLRi?uz{bRKOdc3nW`a3hqBuj$gs#FhMA2 zZDS%Oo1sDyjA##nas#uh1p)_Ko!$pzr-5*eNq^KWya^wqY!@X9uK3Hz6S!PNCF7IR zk(NLb6Z`ndxZ_|bB9bgmnd(Eb_Aw5?G8zb+qOxLEF0V)g1OlxUaRn&1>Q0wH^ecbtf&*~9P($pOgb?)n9wH$2Ow)81XBzIGYZqlPlAFVqrtiT5r#Zr zG4i4WBUofBxQy(F;ERE|036+6yZ8inN01jA2qd8_PY1<65MCT493$k5DgnbC=L8FM zS%Nf~1WHT@##aSaaVta>gLO1PB8!SA4CBepyU1ezFTy%D7@?2OB7#xDNEPTTmZ&aE z-9=*@dojW|UyLlhJ&ZG6mjX-uMe#HO;1r$gtP4Gf56=ieCuVbbA-)GEP8op%RCZB1 zRbV6{JRQP|@5LEYgi$D#|Ikha;P^BS2~Wh6@x9o9!?~>@j*K5hDkF<=z8D065y?^l zaBHR_BO$>o!(s$5=0D;s0XSy_nQ_b5K*-a1$xVaj1WCVJQU`8V2hta@TWmJc~7XcAchan@xPbaot{4MHo z#1W825W|h>&uCN&G*SVSi=Rdzz>TqjhGp!ZDH>lDAjU@HSm3=VLzp`y8Yhjgj{V}N zai+FtG%}(BTaCS|O=E?zex+8#ubmlalY7DWadl=$^aopSVy+e-Dqyi z0+<|Si|~ZnqQ$Y3P&WHE#u37e=Ei9w>Ilu4U0lYI6-SLb$0UG%(d8ImOn(I6?u{r6 zI^)0v+lZjxFJc;XIitpU6P&NlIB(J%)?dmOLB^S5vC*4=avTkS{tzoVu?YelVdj{X z8%01$YDhXUhDK2>)>xTH*oY9SVw*X`#9~6^=yy~A2p-#u8G-l@-4R9jEaDy1P&fqg zE_-9T#puX4x&-YfqK)r?U(k>xGT?_}!#R5fj?{~nlf$urU<9d7u!R&YAs@%WsF9;M zdVCK+NZtfS5Ne*qk#Cg!h%aIuxegJSZb(aHF;&q4%&l6$j6k2o;})h$pf|AraT9Wm zI5p4A;nCdahp7=%2|zLwssP+h&g5`;q%-;)6DcwRKHri6@Hl3yJ(?SLG@gO`;_q?j z$SG<$VjgRb!JL~T@-cp3Vd*#$8yg4;6RzW;fxl&a{5dK`NF}w!F4bI3u&{U(o(LA| zD9N9u&LwEs_POgik`=`fwFJuzSYd^rc5EOd2X#3r$74kG(dAh0`6*c)vx_pv51hHN zx&q-@Kh7It7GkP)F^sfJSwL00KG@>A7SOoXxiE?v66(07Di`Z=%a>&Q8EeO@91J+F?ZvQ zQPntoJUf~jXD-r@t0QV@_ISzg?YJBxan*s|5V}#zLtnu_!tUIU=f@3;*xt^uGyH*$?JqT6 zk~vuwFOpg)oS_k~2(X(V{b@ds6=9*p54gyDbFA8lB&*U7vzBWL_^(G5BXCO4d=x-q z5PyOkBs2v5xtWMc;wayQE{#tFD3VR^n3O-<4qlhw5%GvPkR*tFJO(%>;t;$@^&>!& z%vlfs4b}pZgG5qfU=Ozd>WY8>K0RxJ%2GDrO(Ygz8We!^SgMm4K~PULC5GeuaZ&Ip zX~lI_OVXG?XNbjk(gr3ClkfoKhd{73={W5}Vg!Rba|wLG5Q423MUZp)c9bma%79{8 zFqV`dfcjEd_aNdQ&M2PnK$s&qQ4%@)66Q^?#&F~hkxU9wqC*=4T)}-HKX3$;jSQ!z zT_KU{!s5nIz(5Es+Lp#D^?}VKH_jHrAaJj+6QTlnkVJRB}e)8vqUn2Nn+_l2?i4 zg+DMHSl}q?It5CS^Nk&(S)-NtOseOM1xbd0N(o{Um#5R-0d0gfxPm0ZUq$9uV{}YH z$D)3HNiajy?+{^_Z0;-~fCvK&>y!zhLIS$kOPm?wJOrMqlXC{t5Xk7gAdUVgc>!RA zh7xFj63l-naXKN>t{2TB0+Tm$agFSXQYfJ;*A8EgEcs|@!a%5EA8>$|i18+nwL)sx z?0Pyn?it>p&}S>5`N@>17gsvLo)QBlPu9~Z*itbNIxw9A@1zsO#KIXG>)daoG##M2 zPb^^+F`eubl;fraO)5}NsbsT|N#S2KD8C3Fu8+-S=S`rcgpP@lD3oHjwFGO)uJI>= z3jmE^7;%JN0zqlvQl~_kY!>`U$}3QfchVhs*@2Xl^G&uu56y<_rG-xzHCIt~H;jR&_t0uo^0xIQR2k}DC8X2%Hv+A&s1 za->x{O(c#KN4R6I65|MbJVTNkKaM&UHe>oMGQnv;EQ0?Lk=zPO zeHTE!i#euwHCBK#g0_QAC|06<7|$CSR61uNVQ) z=orBPs)aZJKa(UJt3p!;artI=T7DMime?M9;5ogg9L zm!M}tDw>T1r<4U9g2l*Nv4wNWnp?IC!hhnVo@AIsNaxG3lDG!cbHkErm2QU!V4Qi% zl=}WbUxQ2?U=qz^Mhftt2KEVTf?t+mq}2BqdBixfBtZ5U#hgeXETZ>;4Qw9ZHY^<5 z&bgtWP|Ydzc}x~Ouc_!It>9>xbm zmjGh43u+YV!O{pNStu&?iABmOy$@!IHNh;>EclRYy8;Jmg2+HXp$uZY%%Qb)L?rr> zw@&Y*hM9s}YH%*C0KyYJgrv@tpc@gv2{D-nuAJo$)6!D%zswHE=*TJNlo>_6AqOA@ zgj}Y_4CjwH#c$Q+4=7IFXn*i@K#`M5(0bdhA zOaq#jEXB|`DPrc*j+m+1Vaim=DA@Z$6DN2z8R`H`boRM3Ug}| z;jv=s#N0}E#=D|XZEf+5@Wy0v>N(YqH_;c@ zpG;T>4B!Bhx4hxh2LhQViht16CzT25JHS9^AS~;o1d`*ZL!h67_uOb4nXnESzyT%* zK(kXrQkJlm@;`j!8EJW^k_=!%awM7Z#TfuA(|qCvZ~(58EDEY3Gp6mPZ-Y02W|9|a z0K?2;CZmA1(<6Zmz%q>$=oHuhgaGT3GYK5nc9tQrMl?&=QkHYhqy=$A9$6+y^MEY# zC4KHIbna?E>PyHr^f)l`}L@Xkg3<4Yv`VaPlC@A$svS64d3SJQeDQHRe`6qP> zyp|P&@PH5yGjxcNWYTYbA2t_)|5d$YZWjB{1DAY>px~b1DJa(SH#-lmFPM{0az!ZSxTTNP@qkOjIe|%BZe?m7z@%P_6YI_YD7U`ECEIUEVu_=GuW;v93UqGncmzW zL>t|CLAo~4;quobc`PNS8KWwLO=H5IGUIrxG;XTH7C9_PJXU$LK0tDzyNp|8BLO&c z5}UCCd8Mk;ED>azwgnzk+EEgzZE%VxgUTQH5a%ZCt+=TYC<8epSdb*4klo}`N&{w$ zXEY#_0M$h#!Oo;N#LVoO=qneKDghh<5rD9{+*Ap572`F<#|exrruyb4;Xd}>0*%W} zI+33;LU(UDuMlRmclSry&KBf+LT6cW(uMMwK)Rqw-QujMcnAc2{~SCPK*s<^A^4Nc z1jNi?-XuAMkHknK`r`f=N$`Q@oTJRdh?yW1;vLe2tTP2Y850Cxb2FePI4B(WL+~H> zAVpt96a44qETq~>=6nZd1h+`G66;4gW>pfWCk@z~^aPhB4?>6}kG4y3_r_1h`Ic zJtY|D#bHX^lUbtZ;3*Xe(w$~Vwm=+?;}~ru`*4F2l*S0Zr;>Bg3GOrrxEG`VLIf7% z6rf2U8^|W`RrCcQCzWH%Q_2}@aChE=q>I9ph=Q0!CuWjR3E)@YM$1hD3fZPeV2P~N zAUh9@2|=bqd9nA>DL~JS6{L%!Pada9fb$7HBz*=nOrK({Xd}i5$D;m7iR62@ z2n(_Ti6M|K>IJAE)y=2cXA|u?`?SaL1|}&(7(>CZ?lm&^v~>y*?g+EbH789*AoL+2 z$dv)MG}%QX=NnAq=uz87U_D_SP@(K!Z_^P}ubF$UBMf4yPWUGn@+Jc*5y;7`j3n4j z5Zv6;*h%VS3uplZiP_GdXZ+yt8Tnjp!UyIAXoBu1x049~7KnLDIx{9{kWY!@F=8@) zM0myzHfR;l@}gV-f>f_*g>+A11lLYjhiqZB$#vv+p3!bCA<**T51>Q@1t0;bA?pA) zf;qqsKwjkj6hsg{hX6*-GUG3@Ye)YBWcEe&0`nihXR|XSpzNf8hB?)gUmjT}qZ7=t z&v631KH~!Zz!)g}GYY!oz*pzfAFTU!gM6aF3ii+SykObnMRVS>T z$Vns!bJ9Sd9Z-d+25g@+m!PFsq~gM-gBZFqpP2|Yu*uIvuUMvx5l8`elk}ex=xwJg z(Vc*SP9f)>69g0j^>fw9{8WT`6Nqvkq?6SZbWFI}95=o{-7j2(xnp^eBy^gpM=ZsT zpB~Wkrm99Krg$7Zu6uSWVFKL%5vIb~0&RIVLCBrW6V(6%P@XWTIO%Xg8IDVf*k{#& zhX4WvhO$5RkMao?B!)}M=>=ss0zF@#tb|p~L4dGXA5a&%BL9n2fT~NMM;f9p$PNbQ+Dh)I;$2+_U9rxkMCDp*B&VC@={pn*XeE zI!!d8Em5T?Ey>3zVYI9CLP;iVD)Yd=^CwzO>fgcdLI^8>c9sOgG!lYafJ#L*n=1gA z*H|>;`4R1k2Jq0LJ;-wuJG3ndO`_jHF$yIoQ5GkLXAfd0DGsa%=s$|csAyO8Hxmi1 zcL75)ATQ7QfK^2mn*S^>@_cAO69XlIR6tj?@VqfDMoj`5CDTa#iBRJ)^1`Ddz-1Gz$JqjW!vIp2ShtXerVRnnEgiP7P6$j?dqyMJd|&_=pS$h}ebC zNZpZaayHPvahS{#Tm!SwUyh$(Gl0hcP=mX_Glp$TgBd?ETG3>WQDWrW@HJ*xE#UGNa*Oy5tl1p^?vSnk-OkuKj1 zug(69NkB#OUu1o-1^_YOnu-vt!#4Bdupj*wX`e9yaLsK5+lYodMw}2~o~zEZm_3Lz z)T4Tmbg~Q~#TbeT6(Fve8)?2+NS7a;ti%a{CNIzian6hyb)#GrZ~@*$cSr56GkNBIc@s1GY&; zl}L3vwo*UopLAS0S$Y=TD6^995OnE0XSbK{^rf*Y6O7=}-%O}aUm}`8QSb|be~u&e z4>h5Wld`bkMCfK&tA*DDdm`5)78q?JABLrD7eUMrKY6}kvEP#*miqj6I z2@wIP0M`kwkTC&e8V=P0fI$0$OUA^Kmw+XpP!bn*k+vxTxJHc=C1rWnoFjN9+zYpc zJC&v|QzZg00+6ai0DdYF0HEqn^#;&W*#S^hasWM*8K6(~sS*L)0fq_r1FR`130Nh6 zu}9Pg4pmeY5kS-QP_?LnR2@x6RU&}0>7y!XN;D-@i2xo|PgA9-o{DHXHVst;;6wnY zDzj@XN5>;}hoVraz0DsfKDG#BZAxqFh7*6$6 zL;zG(+_Y?(IklWBPRFKbQ=+LDfOI-G&74-MK7~3lv8kTwaauNAnue;-rc2Y&Y2P$; zNPgVmiwZizXOJLNCgY2nVN4I%T|y={ipc4b$>s@x_dSj{(F80LM1gQlLRc zjs19P)IiiO;*9P`cIgGjXrmJYk1$A4re9JrDXhchGA1oCv`~YnOjPD*N^~8fW3kfU zW44bQQld?tksHN=)ZN)@{x&PCDypzVj`U=_GG+wgq~)kpC%tq^^H{+fg+89BDuE+r z85NVzMAd_(QQ@C!)FsXi#8PS=(DuMli){E&^=ZD-j9POkG5ncUog|@;CU2l>QlJW* z)I_Oj@5P`eB@@1o`t*yy2-u)@fgdJ=XAa=t!G4;6B#n$6F{6hQkI90>7~zJ%m+%rw ziWVR}Cr^T?>-#rKA;Q)Fry{g5%n7E1&yh)hsIv|!09CZS7@u+#zzV{t{TV6^1+}9BO8rF~P_?KDR1!@94uOhR zpjhP5vZy6f^F??emkR%!p%MqqD6;}kN&XLnAq5DS%uy4bO3z(_T2#VF61r#-?IxG# zhUS2KpvV!nBS5YiU8rYNaLs@56uA*HNi2~pJK!2sV@Rg}hi%+A#1C)_BU2M?Di7;ohCoO3gsNSF{^B?ond8p2@E!qC$04+CpI`jjG=UZ_U z@;^;8N=Or^OGba^ngHNgwA-kFDZ3}zlp|`%6iiwsWuUzo?nTSbh{F6+P5BSP-t{@V z6AT6g0@*?EG z!Sg?5_h2&#na$9AXSL!>YFQ0)ia$dNc>uR&Fvn_uZA^znIiDx`&{gMXRa!#-Y-y@W za0Qb~x=&u@HE}OG*`ZuyX?ao`fH?~ODdtRxlzvtUK}wx!MAbY>16Zym7~84S&hjU< z$M&;B9i-AxK?s3V763u@B@Cq|P*v)m-9zH}n0BD-b^Iu(#AL7=X# zI-9HP5P7FzwK?f35|#{nQmYR}m+GnW>^X57)vP)ZAk+avNR>#x$R{xgls9pq86z=J z|L;80t$TWw7w3OMmm;W7<~$<>O|ME)`-I9+?aebGPL~p~U0P3grN)x(qG$Dt`n9>> zEH~FZMxP1lK(lj-Q!9}+PF$cA)#l0J#aSaWaQ$rtfEvYBbr>Oay{cRtg}ysw1PfDg z=3BtS3SK=Wh*zzv3C8AXNg)gnr)#NZHg%nU)dDM&wb+PYU6EFax~mVy5~&dNh$jt| zN9T&LI^3R#I#FNbAVY^dHz?+O**HGbm1jUB@L|wRE?&? z59>E#xtRr66__<=18dDA4MBmDr6f2C-B{}bmc#f#Ty(kFSV@g|QYk6inca+k>JoEB zeKkHJ#EdF|*iPg%B>1w$XRtSf>Z^YQLV=4NtEl*v|%ZvCl4~i zI`sgNBve#SwIn=Lzog^S?Sf1-NqS^0a@0n0CcFYTiaJEP`aQKYlozE5Oj3g>BBl!` zf73a77~%(h%`5Aw6JsRLvDkzkbDVpSi(Ow8W(gWghO$#LK5r#^sWc?Ts&g!nvPwy$ z=eFl5ytVf>N!<#(KR63(i&WILRV9#Z1#;?GQw5!Z9&0OP)v6*Hx3X9*ILyu(>#KrQ z%7SdB)~BR@Y=D)fiB2ovwTZR5OJ5c6(*I%;VAD!Y$cnmN-c$xxz2u;koti(DUfL7J z1g(OWOO2#0-sy+^(d5h~{6X0%A=l~{7h$YWUd&rQybh}En0dmyHHRiwm;#W4 zlCGcuX$iC_Du9{-jIaklGX??+h%e>_ngiW|B37j})zI-G5VSM#?hywGgn8rk$%qT#ZQBtR6 zAhgdZwG8!9Eh8qp%$MdGWGlk8>|MeIW}{%sC+67FJTT9U0irF_ENh^dNZV4bC^yj! zC_)F9=?7jx8VqmAqEcP@PYncqOKS<>Qdl6kw7sTU86bvBLuN+KT7Iz!oA&W9FRze_Da@UoaQylf5>FTDy-%dV!8z(^r9$=h5APMD7Y z1?Gi-W*GvRT3#>731filWu{51!Ja$**x?aT3H`4ZG~z7+AKFBNyKB3jD|wu}_T z4D7%!*`7ndJ4!}ilNkYehRsIwm#6{$WggU!5;|~VK3%gQ1k9-B0h3BJ%3QrNFh?Pw z154@?HV;a{4E0$sIhjqMAM9F2x8o^EiGVOoDq9rf0149y7wS7<>T^~&D@+hU3lk^u zVu4|<2LdlN%-_fj^Ei;hZ05jqJTE>>k7*oph?!Tg>m&j2nEKO7Nd=*a+4}%rwlB{O zpav>t-GU4jM@SXPbhMcKO9Fwh!i%ZSNzge(PLRu&GG;bG_o~L!XKsZ6v2RQ;!XS2z z`9r8<9!n0fa?Cs?Ibn}kUQt%~F%z-6R}_FulkW1vL}YF<7ny2-M&=O#lF4M5#Og{d znduaJ4rr1H>&WtmlyjIhM}$x&71sC}EIr(aNKTotk{!Y{Q&WO{)V=;sp|K7ITc&R{ zml=S}w75Y0pYfjMi=vd687vuR?ossuCyEHw(sk(*(2?alVJESMgl+7qq;Yx8beYIB zx0$XXgbDBkoasgoXDV73a#0#S>WW64d3AwwIy2K<=vS@KJaZJQkY#9=t1v*{SPX$D z&Yv0Tdrbv2XB6naf@VbFpqU_u5ya>gn)l3xW~lF>X`ovFb>tva%7TifVRbgD(S&n$ zG)sMo)EPxcv#UwcJR_xGPny+)lxD>BN5s;+GoCROccng=rtnW<HHVsZ0a=w%Gf@MWOKOUGL@+j|=3s5!51Xj%)=-)(Ewbc}9 zDlfm9UzhJC6v(X->MLt%XwaHq2eoFyq^9Y` zYJlPls09dpgb3+?zQWOhY+*aX+WfvXA?^yR&DJJzX6p5hC~w|DXq%cB+a`P9=whz% zEZP%%o1FsSCd;B<#X*E465>{)zQ|*#jd{uRvGlonryu$ug0H!5e+`98Uh7?3#dQrC{J$@Jv(Lgrq#|&^3a?)0U!E)&5O9 zsfL3tOl`(9Wi(*vcy4zLn53*eVL!1N{g|x4RHYFIKp?pq1gz^r+nahX#m1}R%L`kyw5>k zd6f*A&im4eJ`q%_K#Az{2>Ma{#C_sx?-Q{Vdz75A_DPiU*Pi6Qi1FHdmzhXS%bF^F zb_y7A$ssabB@__3<#~R><-jY2QJP$Hwd^u0$bMc$BPAWQKrMZAsP|e0$U#WVpIe#| zg8CCr+nBINNNbi<@=w)3Z}mXnT$$EVrJRy2f^Tj6wXC$Q6@U&E1*CclJ1qkATx$h@ zeA46|pzt6Ih{*QVNvrkOm_I!O8_=A|@A1w90d28u_pfkFvzp!fl|tIO6PfIfu9>1U}cI+1!!N<=2&b;*GmY1aT}+AF}aGaCT~ zZSC}D$vL~b3=q{e3%W+&f-X8!Je!_3sMCiGS{Xd@PH zG)*kp3K4ufRl-D&IaHzIbG^|dj54)IgmJ<B3eh)~X;q3yB}#iHz;ChX@d?rAhQ~ z87s}v#wJ&2HL7h{U7;1wwxguXpQ|VqJW8|^kAji50_9P?9}j^}3*CV(tVh8U?osH1%@#Z%s0|MF z2H6{x3 z5eq~L7iggR2+?>T)P&UUJuS=uDN>d+N!u+A4v8oiOwk)4 z4X7gy^I$O)P)X$gZPHl5o787g zf+R{0FsM{SrK8lE%gnu%PD;hH@L2gDru5D_h@vBON}&}?n(_*SW#bTsBd+mm?V~(35=!9O+yH@ZdvNd zXqIZ>W)#rU)qu2=FF-AQW$}hWG}=;bX=jR#@y~?|giF_`+#9dmxfGb|HS}4uSlhVV z4s-6TfF&Rh7z zG}Ex%&9s?PSwUISPg#~fQ!7BwG&oc=J(?y>ZKu}LxardLaXK|cnpRD(rg19OX$moh z;5AJEhycQ-V^gAO*)&vDHbtANO-ZM1)5NI=K(ISEt(u0awsUw>#c5?%PvtjF>^4t< zRbAJ@sbiOW`lt$3F-}EQo!#r{(o}LpvX5J%Smk_aGn3OSvf>Zxj{vE5J=?liW$J2mWDPkpCzQ$rQy^k`~4<(no? zNxQ|}t!V)D_Tkv&Q&~^lrlZ}xsrB@;3pLH{R!@nh+0*T*p2~ZgvM@@}o5H(3i4X<( z)Uj(mWuK-`4OQ*a_o)Z~?-!W0>kekM5rtU>z(lv?T4KG=o|I?+4#gqXByn#F& zu^6b169;Owb@3xosJcW}EvT26<4FcJ@<9jSpiU(}s3FvoMan{Ax^h9G`lj@#8G)HD z^2p;dB$ZGcPH3paf-+KY#eS2*(V+?gy%(^nPt8r&XcL*0T&*ZGBqoLkC5tB~qG-`)HWdNrf2wJ2OR@h?1gp3;OK%)Yh@~ALMRH~Lij@sqDP};r-UoT!5 zrS8>_>T!X7sVL37fTlz$%$1RfyNu|vSv)R{ljo5obq$IH%A|TsH>sV}jxB@M?^j4T zVlr$`sm@f;p-sSaq5HT=$tRDRG$_HdAc(C-;V1*NRo9-0LCX1?s9E4Sfi6bb7Y~`U zLmO)%uzJ7j6Uo&u(}OleYp9iXY8leFPgY=W>|?`n+@ZU0SAh|Z(qYWJ7M_d7bTSkY zvc2YBYs$1>Hfm1w;iMVxxGgbq!=C4abEw^~B0Xs>{#7vn;+DQaT-CCIalEX>Nj}yg zp}fhb&GI%yeliS@Zk<8m8RJ#Su##9}1QS*n3ZT|>$S3R*7F9&7uK`c4KQ*5Yj@2Uj5RoUw)*ZmgZ()GIte;ObaR!ev>{zC>2F@94;3^3?t0`h6*}lDg{Z zgLcYVW%WJ1e1|lbUj^%+2a0pkb(3&?WnnJ{bsS2x%_=^#uEbeEtG*j3;~9aTtHt2` z?pYZz+8GPMpY{2h=esA-A9Me5jm6~iusp_o- zEhd0vAa)6JodDBZtq8qQc0{@G^c6Ba<# zHErNpU8B9dkDD(VFSUDFa8WpT#;#IP;E}C;Q~_XjwFCGU@~+~nks&!NVcB=pKztxj0(&XRi?2P!=03NScg_9e8p{`rkJn)JGU6yBr?vBiIdMSu`(P2VX zf1uaafan!VL3&-bhWdh*saK+9@`m+_w5)!>UUj+N1S`PPax^ecsJ+fDqqdO8t7Sa* zO`x?*!8$3rmPEVYtJpHsN4A7p5`m7dIFjTm+Y;+~JaWEnZPPBG%jj$V<8H|Wt-ktS zudm_(zQEs-{SmlKE_q);ztIn90{2FfN`7Tr+Ct8+UWL`kQE}aIN%lK@C9X^AzyKDw8o`}iPI5jbCe}u3?s8C|mhrtB zFeR`y9ttd2(E{6e$-v%4l$RXX<|QQs1Z%a_4UAx^m&_XL<-`O9tAbs^wqUIT-b)y4 z6!VKiz9geSV7*mv2LqAKbbWad4qxtF8X{s?`K1sxkqL&yUmjrvHAz^_i*Aw;%WR2Y zazju6QCI^e6i6E~jeXb_Ef<)Wp$29a7BjSkonTr-ys&tq3uYKrg9(Hs!+J2yu&@s_ z>!55c`Tn z#C|5Dq>N#?@U;pO+X9fp+G6txSjiPZ$zTf&&1*s*6QmN|7^RmhfNw|~0f z#F@oZIBZH_0k~qXIe5&>%PjUKn|7hZ{xMM`3Rzw3ca)OVi?t3bGFJtROyCPK_S4A( zap)pr9V*ILO=c&So#Twfk({!kvD6+>CN;K{d5vwpqB55+t4vsejI{v!%2ddHV}UP! z(rQ&7^hBY{oQ8Zo(XqeGBf>h?AbZRl2yZV#OHzS)tkcyoJkMFaOiC}o*31*dX>~pf zkbPp}V+peCSkr(zw$GW6H4!gltuCaMh{-+nSh6~q4U2dvvOZ#qtd}5?m67dd7UVjz z08N-Hq$DJJOCiawPEN8BTqQ9^7|sdNbjdCjBRSQRg@Q#hMnIG8C`7VuvZ215?7hb= zn^kWO6PBG1Lp4pXYvH@VqW~?tP`GNYWwo027B=xGsDu-j6>HLEGXi$mvnC!O zt$CE(%iajwntoa1KwQ(-a_db zf=G8!Mkr#Ia!K0!-<~!tv+{)%=&_i2!3XTjzBs9ySsluCZN&6eZqO$Ts8J;fb>Oa&L_!h)keGMd~9>F_rDRA0t?VR>XYp4Bo-h}kD|BcQshvQFMrKKK@Y_+{6 z*|u+xQf{QEb=4|rp}mmWkiuzTQtO^0crtE543}DD7XCKXLlSv-h5#F#+*o7}sMg}C zJRa5hBJY={+LLXnmM^fXC5g0Z@3%4BYL*qZX*=`Ogw#B9wKvbDPtKDxkm8vb?FoyX z!&-QQ(bKJTti7nZ0h*qpUrAB7YU(NO{BN))&Jxqw^M=>c1rh)}1Bg9GJw02qXT7U% zyUE#LMo0)hUv>_ixvqONf|P@TfuoP=u^`Yojl3yvOu`_4Sp@?gkEds4F-W%s<(_vg6-|I z^?Bz-*us!;iOC_v8R?k#xkI)L6KAtU5?fj?#g>NR{egbod1ki{T8^!-=79^cUD|#> zIXclGlWqAk1DdiOaG7gwLTg*1O8j$5aGIUjs#Gd1Hrr|~|C4?Tt~C;JYZJ#o+j8O= z`GoAgJ=!9KBA`p#y)BljR{ONQy-IC!HiKIxm(`X8iYd_AmXW%)%3{?8*!B%NwxxlZ zZJh&cR~{(b^#^L?jLo`}G^}rt2&UZ@Q0o~K9rJA;$%&S~ElV?S>jX+9A0imYspKwb z^iXkIa5^6QG#MbgA(OFx2T&OXCIVw%Oc(f zvrD*Vg;2P_AylD}2<;2kH(|FjLM-w()e>sE#rDWvPF8mwPN;m4cPjsmVACT}q`%UdrYVmA1z3!MVA!+4?L0$(Vh5Ij-6HCq%z^Bj-6?QKy7CZ+Bm z0(@@`K8oA%EwqsEDT^zrpl>-S>RWFp`xYDuzeQEbZy9nR;?Iw$b_iew)8B>___zB6 z9z;D9Hdt(#9_xOO1pd$&1wfR+C=iuw23*|7;9CZ6U4@J65ET?aL{&tli?ZFWkaicD zr674*IHCfkJ>WR~Oc$QvZHMwjL|BXJDg2W;?7*j zqwYD4=^FP+I}2XY)`Eey@=;vya+%#(w&)l`>hPn=Wj2%EmIB&bt{gv?Eyx5?L9W?? zkXv2mAslk6eTm$kuaXI)f+2-3hP{2oM_Y?u z)s#7})_e&TJ(v%sgOv8oG=WA?<2^$`=%%_D)2goJ#;cpv1tV;AGp71cPP6zmtQ+ek znG)i#s{Iv+Z_D&$iniD~(5vFQ;oh{!*al}>;B^&SUh}$p-PdVfSG_CTWtuuo+q)uw z!fw)ZY>L>;nx1wSyHi(PGh{cmJJ~&(9!=M#v0b+*+!R$sH&vV(s`93kUA}2)*Vt^_ z{Yh|wb2ue;8#x_R@pchan%z)Ua2ME2+%@fXn;XF( zhC>x|cWLU}6#+nZ4^`6L#;KmFx;t!MH;Vv%r`p}>Y4KEXiaZ7Gf_LrB;@vuMz~xXi zJ-wbjPSMTqT|JfdR0P01rSGm5?7QJp{ciapT5~@A?>cq^yzoWFaj5nxD)1~pka+Mk7A{;N*NZQ&(dNX6hq*KU?Ag^R^$h+5)Bqwv7 zsB}Xm@27^zd&Gqq{}rRW&H|ismA5W)bj|-WX z?+oYlJ8yT&9|~DEuMfk`Tjn*So_XcGzYjVu16DkfW)K1w0rtF;TR(5&QvAb7m6mu? zzs?Z9V27cXbd2aNDZop7hdYE;YNI!n`snraDtalsTL(+8djivIq8w{MawMqu#+(bk=r3QdeMRmo>}@`a zQ;WSoXA+2X3)ySk@~7@PoV~*sgQ~Pw0~{);_BK@a&dUzK@A4P5455X@YE;(;HB)hK zzSn@|UPbq2l2EGfh5ABB2cZ1!l|Bn12@2VkF)rPMR^x=zYmiR^p3YisO+|b3>=IqhwIajPSh zC-kDVv?})1{gHhuzsTRTua{%-Td0k9Mpr#9a9`;!phTj>rmYZcSLY~vjY2}+cMZMw zbrkbapTFv7_o`)w@H>NEJY$y=zYp5{>hAb8#b1T|Moj*zjwUgJ*>_Zv{Z-7dQ#8N# z;%BkERy6>)`TSB?AGl?nH3EiJZtICw{Z?sNze3;*$W4aI>c~on(0--DP%5Ku?$@;x zV~rl)f%}Ax+UKnq_*x?xLEPY(tN_JTY_A$R>TEMfvc7+xzjl2!RW&ID&+4{0oJt+l0eUl9iSc*xWzjKKDL@-tiVeeEbymB z3rs&C-wa+J&C^y_(P$wAte)xwLxsw~=9n`uxRog^qX;)Nfw{MCV6cjy8B52Kc(-D5 zTfn#I7Y2B++?sDq3|Je+z`AIFYm9*SdmNYmbAPYV?1mSxYsQUAKbQeaTy4NMU5l%q znFq{}rT`;xf56JyAn+u0S@d$Iq!@wqAxK~hj}n;WdZpY06JU7*e&9Ap1GuI$2!?Fr z>0BT^S8y%RRS~Sl!8bpG=dng(Nid6;63p1O1XD9~-a*QBiUkg}~dBwDcz=~f)|%N0W?UCO-T^VcdWViolZ7XMX5n~GVHGG(^4nJgXx zWM(b1o7v1t%otkSA(9qv?{1r2{-Hd}mT67j*1R9Fs~#1|9E zh$Ks3E+?^s0XT!9!3gSJ#mq2V;a+kH^qhthEj<`g=%YHJ=gAW01w(`~tXM)IA`o%O z2t^aVgc&N&^TZ$FiQwO;AV2|179MI8fPf*3a4#`;Ku1ziE>3|140u2i^kH&AP6#Aq ziF1>3B08aj%q-vlS^`Li?$6Kg-YTJ{AVdLDMkkC@#C(E@kmxD`>>NH8RfvfM7(!MP zq6Q005N3f@2pG7SnF;8;=3(E#Fy|TLzq+}rC6qr)-bh)8am>T;1R+l zY*<}9e??jhw&$THMz}M=8DTOuL>dPHRk)%hh!?ONzDRWq!r`UCLhwjnv}_6Mh@vg% z3R!s7_4c)O)lXwQ2?MeE(jf0EA~XXf2|R=$@2p8$UEDie6;7ZLXAk+yfy9K+trk-o z@tzqT>Ri*ES{6ve5LG9t%hmM5MF$fB*Wh`|MZgk_5e>!r5=KjD(G`FJ2)@J%9|*x$ z?&Af(tuB{v62PHi>Lg1=0@fDQnptNj3Y?y&d-X5_Goc0WBJixe3!A18B67ec}r>qt)141W`AzY+O)CN!dHAFG}d)ms~@8AJ=S4V&&qHj)y|Cn zW-K*_p#2}?Tssu2#li1%Xm*B@bB&^@tO425{>2tp@ekJq2^ zSkJ1f)C^Tym@;>AGO$MS4yqLm?C7hQvO3D}xCSX<&8SzEYZJ!bx}4#88t+{hmDN}? z92N#aS&fvaR?{PTU>8TGpMlXw4WtH?C?f_Mcmy@XcA*{75{T@`B0>nDF(d+O69<4z zTLRz!90^PYErbl92e1UV0<;8{frQ@7H4;G!(Yc1?MOqElRR#}N(L>cxK`>ln81#&R z>Ly5)`X_m!T(NJNw5wos*b>*->gR@2=EFJENI*-~4 zR?TSk8GUJfum22XE;SzV?cfvNB_nU`+BX)e)F8oMiLq9eUux!zoOuMbB)5X2-gW? z#MYXS!DHB1SGf8TZCx0G9lHo4&UOz-)hY;bE)F&K<4cw!3=4iE7=pfQN5UpM5ma-? zXg?)5dUXV^wJ;1HQM^80E77>uqA9iR4k6M}6J(z{9Eb=E4Q*L+i?h8KN~Wund;u+lL|AIe7ltuYfPtb)Cz>Kj zz!#r^TQn6ktx)AxI4MyUB5}ppYfBZ1QzH zfYvB$22^bV_zHLebFXE(asf4u9&j^=b^Fai<1Z_rbtc31}jKDI+eB zu<}qpb$-`5LZG(s8oe-L(60b})7bitJPmKdEx{7$C3vVU7v_$qPG>tMX26Cow6sYA z677WcLc@Q}wyj5i1!CI*i+R^F5FMwB8^XP$jsU|8V?teg>^g;k6Btm?u77izIZ^eY1yGVz-Dn4{ zf+Lm%thM9`zVMDPNwfrNBDm{U0*`^7O|1rS_ZK`*3~cy948ZeLyY@{8mNIVa z?F+Hw(IOc2MmOgU4|QUq+wjszDD}Z82nG@g0%^mSo!dEg1kn6}9c`XNHUh2?bl5q> z5ELFY>l}iI+PUysZ0lj-STj-x&|ntfjvxZakD9WzB_!*Hhm^aXt;kt1f-{tYt7;*) zy|aT^Nlu0UXn_~Xss9M3dCw)1-B9{4-j0j?i=ycX_b7mixD2`7|osmzHsx=G65_YSQOAr zHW(p6>P{3S(&Tax4wL}ei2A)v-6n9O<60j273SUsD~>QrldbqRo;oam;`O!%gP_Mg zF)@t(SF6=i_no?uT@`dARL_hN0yhR$l-onC%RvG#wKP~GhYFL`Vc*H@o*!D!7#jTR z3f7Wg3P7>QY74o)5lIPKSXNtdQtl3O8#>V4YKRjz7%QHUdb(wVRI1nCh=Rbk0*C|} zd~1ZeKN7L-H8%=R6DE=nT*ngr45*C?R4L&avszFH2(JIx!42?-hkDV)hsYEqlul0` z>e|WgV9}+bzF3d9+}kYfTDO*Ir)`obUP}R8J+wz7HGPdgF*~eLM=>JaoB={Lx{#Fs zfoe`LNP=JmsWpNj1B0OQ_6ww`rQ1CyZ$ggSxTQMVP?Gk_L=5I~*A>5l1D!e9V$Z<2tPN$Opjb8zc6zDS%c0)4jGRK|rm)ws&v2cS9|pG54lt*fYpw9t>RxIMaU^-`Hle&3)fehMCPR zcDRX>QV2P^+*dIhcAsoR_bpP99O<8<66Hub&|Pk&C`Xbr)PK*O-}7wG^ZCBtci-dv z9`EmcKR-&AHhA>wk9zjowH_aK>mUc+>u5$U|5e;=+%Q;CXxxB#5uJ3W;mRqLC1*y` z^ystqZQMq%!JVeOflw1;SD#0lU#+(sP)xi$td?3hN*HK;STJ|EG4uR@aUm0O?7%kU zUr0#Sd-Bdp;E*S>th>89=U&)pZvV8?IGr4~_VE3Dz>@vH1#Ot8>>1Gd&HrS5IrY{c zxck)KMweGJ`pd;RsO`}?+a<^9)7oLt%jEghhgTevZbx{!=Y?Ll#P#LT$S9TmEi)y zV}C>8qxp`xKeA^=9cVec1Ly}oE!=g-LS{hv(|d2tjPy(|yT@Y0z>_kGzaM@5B=a*Y zOQ2*p_62cl!x1`o`Zo7;a`W@D54@d_(egsnYC8IJja?ga9@TqkZHsP`zof`j zQ;fS^siALa;w^iAI9S5;rVhaWE_gde+!xe$D5@7T*PLHjSZ8YjE6r4YzG%>tWgC@1 zOT2nP!^e`6#O)7&H7B3NR_PSGU#yd-bdSl*o=CIm+iWv*FXdYM4keUP<+sqCb=o6C zDaOpKoXg4ZsVKN)o5BE8;2Gk}dilUR@p;9YQSb1wawQ`)WLiR@Kk@TA`J*p`-o|z*`(?i}$Iky-k zj_FNnjY$u54N7Rf=kCA_E~g?JuWZpb19s{6Jn)JMcnDbr>(Nj58IVUBuMdP`ZiNQ1 zHHzQQ!SRohU$nX$r-%;61Y2nzBE=?WIsZDfQxN`04VN$7iq}Q_NnEVY*_`H8=xgG< z+11a-@|e-=K=CWpX|lj}u>1)qd7EJsiZU2UXE-?4S)ln7@rfBU+~4bqI9N6qRZ0vu%(0w4UcC zomK{{yzorLgnKIJ87{Ybtbe~w?Xjr&71dh_$&FXvW1|-by3aqsQs==L5w-()Z|3?K zqQ_vNGzojkKsLhQPUPl~xoL;*&*TpFUgQPt-ecdPyfmC5anf6RwmIhVn^(EUM*yLK zino85-+oJk)votI!$H%MdhPYk%6-CQ!F_ezv7Z!5ql-)NYskT|_VNf)>OXZ=PofpguPc5LMY~icX!@?GF}~@zl7ZXn zm^NVsQi}_qq#8fsU8TpZ0S<<=}Xbr3%;B=rgSIZ3)Zu5B8jxDWEz%H5~Fx4i60s-!)*clMvJX za`*(|QAgv0(qAT&6OE5hT9y}0mQ_k*i6uzBi_2_6$ zP*>NEC(uiecH=p|CzLK9ejNVJt01r`2Y@D4E5|9>^?XU?S;+JV3?ECNdn#?;f6f5S z2N{a#%oO?b9nIH2gf&98I;X(WbQ!@t=Dy`6a_rSB zQ(JwWz3aYOb>>JreAjn~e;g|J)3WsavFHb~#pYQ@H0ypx4+@W|USZXrzigBi{aNet z<3#PYp3>09e~;u%oVK4EyRfUv(IP24>*K&cinolhXYFHa$jL1ISL2Loqs-v4 z?KLh30A>%61B8^vhW-6)2V~Ouq}=dF8k4>6E*eu zgRfmF==|^5_D`&?NMgsK?|#>;=AmWMHfQ8P*7keu*%xP5wMXZ_^dCtc z=R{D~H&P`##PCFJY{R?9qv^w|LyKJpp{WL%t2_H_RnL5+Lc2fYRdFZSpPJ)DMw*8< z>qNqIdKmlgyfEv%svbR5(is>Hvo)HyG2Q71*9%J-fE?WQv=%Y(>l-&z#x_nt zH|y+!#W8D3pVgA}k%zN4$c+zP8mFa%$yBf1oT;qLY3x#zDSy_~8EXZ1R1`RkcKk_J zgb3Ro0;vYFOsDAA*%LL%ew1aO%5U>c%KcAEjT`_I_Z}kUO7M-1TYCTNmxj9!UcG4e z=?c?!^Wz7kETP4-@pX64q&ouEmeA2jSZe*#FW*W`!o^>-#mY*iLI=AXy27s%Sf{qm z1WG)sSXgS7@-1@v+)Kw$WJh_^p6-Ea^CaK6PHnY7&DN0yMR*5I?V`#( z+&f%!e~UEILL-S{)z0WKJKAu`JoW?On?3=O;<5?-^Is=`-Ax| zi~G)o&*~^1@qA}2r*KPumlkLg zk$d|`J?8rGUp&otr#_&Ja_F=FK6FS3-!(d_04Ij z%|JLRk?YtSG4}8UCCjck=Es%{Zin-;^05~c6h&pL;b%MAwtBSV#$HTPIAG%N{oh4d zd3Ys-_wSRgG@s=DQ9QkTvHYu~NyE};^MT=_sq@Q|PTP`yFUi>7B)oO`x!iHQe}V>7 z%r1O&@k@pHHoHCIyZ(4e_Rhw$KXTG;I=;MAl`}Pa<7X4c<-l}xmiE9Dq4x$kq$B*R zuIHU)$AWu~(1Sir-EO0yQ)hg(R9)5AG-LU+B@Y-RXi-Ky9KBK}oGxFQ zlTsN6W+~6Vxh@}ldw8$b3*wgtf2xWD9f8o|K;ttvl!c@E6{>GJhUWXj%#%}jZK@o}$nGC$@hl#l#}Qu6ux#o$2TU}^KvKJL9x&J?g=p>51q z?}y;$+0=HA?=f|Y76xpMJ;gKfFATnZz8>3=_<8l#!|Pt{4qXF%gN-k|qy$CV!!DMQ zqx|9G@@U8S`lDks`~7wX<+Asqf2vv=QFkI%7HL;n!V#$ho4Yp1k?N0nz{Q<2IDDaL{Z%f!kJ+ijn_8i@o z*t_WEG1=ICtIntH$&@SpxARfXcg=-2)7|UA)Jl93N5;|^m{N4RcHM!#S9mt+NfNPX|vZ{s3$M_yz;KlbHx-Vo*EfFrRRQ1BmR@X(s!->me( zf;7EYXV+l?CM^`n|usqBZN@Ah%6twR1*(pvSUSP8+8YE`>Kh zyoRrIvrDN*ncEaP5rbqwl-iX3*SV?jn;!+!Maaqfw+dgNKD_yUbMD%V<$S>YF-Pm4v$LCWc zG>E)5a_6r4M8030D%?vxMNyYhQe`je ztNc3BW!v%Tp-$40bIt|Y*P4a@#`mvYqF?BcBcfck7i`hMo9I1CYQaSeS z#n#69wqL$3>3E-j_z%g2OW6X8beZBq1qyuzb9YVDw@vjoc8$buV1_JayHANmoZexj<`a?elixa}tsF+XI5oiE^Tj`lnzg{(ii{^s|< zQ0Y>n%@yta&|P0J;KQ#cWMya?QOv8yhvlXf4H3ivEr93WkXS`c04_o{W8)BVUv3l9 zn;8m=Qk@?*w6dP;rhJP4EnNNfHc85RxhZ3xxt3I&2M0hVM)o}xrzADO=~~b3*zPBoc-=pTHkg|)Z>O9cVXl?sdr_%D;33He#qiw zmornUK9gMT`O`5@iD6aUYpngy2z6wUu*i@d?hmJ8_$XMVee*h>GkX&)0u;Qf67@tI8 z-sy6+Pt1m~IEX9HCpq?-ujlfFYz=zV$Me6U<2t0-pZime*ELbIq}?WbXSJHB7xw2t7qZO?)1r>Ll@x`NZ*$rB02;jc_IUs(XhJ#9S=F1{`WeLEgRFLZpqebW6zH7o~W`r;eA zG$q@!>L64W(zx@^(}IVEIy-=F-(yh^knS@&&_9a0HuWI8d6_z=cM|J>#gl!eLt6FQ zNO>t&y-)SIZr75@XlU>^-uC%Sw;P2JzdtiOJCDsfaiF8~cwV2%xyAEFw}Y0GJf1`t z7XZ$U;_ZnP@?GwEyxiFK`-9Eh&&M<85mrI*gBLk-Dl3D&?}#ezW>o>Z4A`T)>>ZE1 zH%9p&BJXwgdzqTza<7he3-A4~{SXsTHn%g-Z1{)nKfkn-@e{rCM^0?ILCbkr@bZWsX!L5uP65&C zLS~HiEfCHDI>JdS_vdERufY>|xBRqrJ}Fz>MD;8ANByFOyGaXxRVbeod3<#6LVEZvnIqZ+ zJXa>UCBIbeX-?S8&3bQPC6zw&bXyv)a1$l9%*AmL~ z)?uA`Glh~balB%9U|4y?J|pApoA37q#PxeV^jX_P4T)c9P8u(C7QAee@sH)7%6@^m zYk#E{x~ymX+Oaneb)dQ8$|ZyG#d4XufsBf*rkgKaM)$*huTF)PSPu-mC4GHYdh?H2 zTctt&T|iI~eywMc#%(H_=?P+FZr7AwK9YWes!%Q`8Bnb}G zl$VjmZ?#UD$%W&O=NcVehI|wJ%HbZs=Z0$~;Lpft{n$zVxNp_ONo{}V z%I6MQOzi%1S3+)ogKMH5q|*{xOb%aYY%0^DUb@J;CMB~At37b)b=L%D)~dPbP1^5_ z>j_C|s9@u3pS<3+A$BL1)=>L?Du)e6q=?@`dzSYJJiBFo5b*U=Vwy}w_)SUeJC{LE zQ?tSYPa28MLA8S)ZMF8O-U$YL*%4@O{Qd^|g+lA%W@;{~i5Q#kK(~LY0~f-~KKf9` zI-YbpikF~QH|daL&U|k0m!hh6apD^-H9_lzL&Q!X-kVNQTZ!+@Ri}vmGkPC0hpf( zPN>Hy1)PUh+O)kSB1hwSEn@oezj zkp8kw1xw?r8SczJS3QmrkQM4Jb5;I9s1L{2tXR%8{iB8(y+M-E-q zxfQDGaACP`MH`gk&d~3n6uz1}Xjd(cTL;Z3{5o}Rl(eSzO~v|TCNoKtDz3O}Bzp`w z%>R##XA2;y6(LZKo{0$s_b0aDld}IFg->JhljL)3HuMbN|2l(Mtx&Gt zh!T&w5QkP?J$|{KCfgSs=`^nC`GDK-)Vt+S@+pYO4Dt8K_;O+3VcNllCnZk}+f;!{ z$jgbL9kmzI|K0B`o!RsH;MdL$Ktv>O!c*V*+0WKRx!jA*l1RqS7Sae>`Dt-kPN7bH z@#!o7q24>5=a%{(#y8haJ61GIcoGRwXOz_(*?)Y-9(Od3GQLTjK&MK}sEC z&U>w>uU}dR8}=isCLAb1nAM}8?R4jn@!LJUMxI74t6ENH%3aF<&j!xOzMnrF|2+3$ zCn;(I%=yRPYwEmOEM93oZ7gC6g(gLyFoEa~xy3aqY-iQrn_BSKMbcxwS1x^7qdx+v zA_~1f`g*VF_Qr^WmgB!>&tnk>gR^e#kc*IyBQhq3-1rWtz_rnbLOvpL>n?0RXS1-F z4R0yweSL!#6NtS`P}Q)bHIUGTfgiSN^MzvyThq zBAzy)*QftXlqabjYF+#)>F*&d-TMbki(%?IYEuy`PZJUHb5cTif$!EYE}XMmSa8 zpoYkx*+iZN*8VJgJ>{V(zvhZ*CAKKu-dR-qCBEecAR3=Rqa8|7c|bu z;eR!TPTQ@MYSW_PaLvX=#{Z3s$j!L8ct=lwf$cN?cSr8CvC>z8|F~4W{rjSKR4I+} zeR;@(zsY04E&ehn3i*3~;9sKBtxN^3$Ir|4l%L-(u6*B@B^vP!{3l-e9p>?}zh1AB zc#WvcEXjO5Ff-7Pgqz|IJrMt^JsT2dy=QSJoD@*?S8bG8`p#!sarHEx@Ym9yh%~bp zp)`eE9g1|NgWtVxTWpBbo1Xy0sdT~BQX>3OfT|zALYCXtR3P8}-Ykp8d?7W$wE}k~ z<2?@s)^`0%K}9}XeuIep+jDjx?bem?v%2qBwby+9s<^Zc>43*k<8`b5){5FE-qT6F zJ147kmsZqwdm^Zy3HQy96Kza9SHBZ(OXS^i{GOw}w9czuT+o`WMgEZ=J1af!&tF;M z%^pYZjg+4n9sNa_nE<__c>Mj;B5v)zZN%Gm;Y&O`nv$6-ysiJQk`ngl%g>ARD_)v= z+6=J%MlW)BsdPAT!;fzA@5I0Kj&b!RJL=gl zGI={%Pxjtg9j}eKX-iuMjXm6dDmB{ht8wPs-|P6x>BF_RCj!6xY)y3(R^lx#@rqB6 zJiAzVWyQJf>|a+b=wH@{Il1ARF~>>8G7T8*7eP>us!>O!e{7Y1d5rPaJ)wa<)sF&i zWAsQh4-x7bW%mzMhh=+gl!Sb!tWfN;$iA#m1hD&ioh}{EQT1)wDgo5>$PeJ_eGdyd z_f^bmnw;1_{b-Lr#;;$ef2#RXwZ--lj|Z}ENpJANKfhE1Bx{i&U8j#neLOs&_{Vm+ z>-0fZ=h>52(){w;tOnOt@9h*_Y~97qP?v35s6V zeQ`fRlT3E`M7lllGv~MJ((2#&jjjLQ8+IEmv^IJy-TPaxVb}g)r1xj$^=a8b@cQ+C z?*Bft-hcNUb&2hOSR!*h+f%PT;C1_-*uTz1zK6D%D<}RKTe*^Et$cU8e|7%So$ufN zP5m(5whoO}BV9ewxl{6H=IK<>uVV!rJ_7f~@5jV-R;Nr*(8G-^yffQ__ugF ziaX#WlIMOy`8+HLnZWqL_{L~qsM?pBCfH3u%JIF7_mEyjn-;*LfdR1CVAMEUL%E1q zns(Pvz2;*s*$^c+Nn@XRdO$( z7U$GbO?B(2R|9{0rMg#8m9sNI_2d4W}|8o?GbTA zuPbXC4XMTrYA2S;wGnf{kBNtj+KCOsHfxovZ<%=fEvCT6kaQ#sj7^J;F`_bB=Hvy`DKp?4XIzZ^-x1Picm5Bk~0C6FCJ7Bb#EstM#~t)`LuvO9<7B7(9d4hF&6%Vy3(z3}2KqVLo@YBPYmF!7&Ol5I2BHRvb*3u7I&8 zbur^$(FB5=Gx-3)F7gw!o~gi!U|gjiGO}YvXKf|QKt8$=5s@KUtQw{nX%mUW2)E2) zRM71S1QH46K}WDm<>XkAA+^kU$Zo)(GRyCq!V_i#?i2M|iV0}}sSkS#=0l30%EbSW z6|R~T*wJ$4bw-84VVDeLF#?VBXOYQQ5ar-%W&}f@qLdYtH3kVJA^h?Q`kS^U9tN9x z@}Y-lSV#;Bjr3vRNCZT@YLC?yCSGobQXEVj;sKbZ#b7z8jOb2Ngn|Lnh&d|1iFuAu z&-lPFWp*fkvCaiI+7Rq~vM|g~L{*Z2rDLIQL1mO#tuyu-NWwEIKfz8+oVljY55uEi z0#nP44lJO0y0E09syN%5dBfM^*cqy0ia*oK_ab#C^D=cfcQ?XAb6Umg#iDq7->d+E>4l!TlPGw($#o9ceCNiDnTXS*{kEk*#ZPaCXMfnye%B3DN zNcp$xIn~Ae9HNKHV5S3tfNSvrMGg~6Fkn<>*2(Yknzj@%CCpLQ_bpHSW5DSkLx(op z6aQ1p4a*0aa+n=7c?=5wjEFq=8q$PwPH=SV%)C$T&YX1XCcem&fDxc4=2x1e4z~FPXh(vJ^(hyAm&%!PzC~ zuV5^RYnS2bWp@ZqVW_aA@jj55UEj$V*c3U2@DY+spQY)MiHKzKRmO9gG5Hv4iJ47b zW8TH)(BGjIvp%C&u;Juu1S@hV7DqP25Rr~#P0~68%(7ysv36voKnB5dgcCcO4U}qX zpb2N2W_>`Oz$<5c$u!OSL?}jLvd-iE$hDDZEvu~Y%p7(c%Rb8~>nlV>PKnK6ZzT~e z9kRYK%LsO4EbFSbFAD@@vk8`!w0yP-%aFB|FqWyvFlHfGGShGhl+n4F-;>SEs zMdq6AcAy3_D_J$MquGtDS{BT^oO*{9!PKVRQLm!v`PZ;+@4UzQ?e&1wNZrqjV|rUR zQ`=a{%ube!RTnFk+RZuzdd}*i#st6JbNXN}%OpE3na(r=pOl5*wdi6JGfN(?#c;O% ztS?~Rf%|}UU?Z$CmJLS(2v2}wEEp{`1jZ9|1P?LoA#Y?RK*RBW;P+7x+3Bcu^grTG z^;<|L$`Ao?e|7W}$`R0P8V?~^rJ0tXTA+FO-JBV;Da6yn7nX9g67B{b7g26Hx+D5f0K7eNttCyCgfi5O~!k(NDv&lM2{2**hX!U^JMM;(|N-do`<+?r#{xxrDECT%ld9-*{`A41=woncP1KFd1* z#^v1|CghXBbkknfdkj3KO@A(PNY6qpG8X~D0q{~sW;H~ceOPf2dL($0wO+D}7Fh1L zYcBYR^$X5SrmTD@0BBjfJH@S!Q{phWbu%y){DR}E`-+nRVqn`i)sT0bKZzeWKQd)8 za(;UqUT~E=3`WF()8^2sz4b z%!xw?r&r*Em@tn+czG_1(+DGCCdk3oG#Um52e}&>Aw59=$`?2vv=1DE@qw;G!kzzv z@1ah@N2QW*N3FTf;Y>vcB-up+eqSB}(RR6t(StU_Tf>jJmc!Q}4%`r_BR&@D1~mrq zF`n*43PZqI2!Xqu`_)7aG7VRNYy$oVcLu(Ow^-!El_^6ofLt(UYxGr2oqQ|YT3W^u z7?OMdvm2@h;$aBfcy2i<5aR+ooa|+bgFLbSyzeGPE;p9D5A@7=L-vHUzE~(jaUcDb zy8^^6*^}!IC2%eD>w<^jH{nv5@DmU(a4uKMD47cbU{LPhBIibpEN(jHC~cHx2lV2) zfUJPKxm%zt&`0ce%02gM@Mmxz=qoS=6${-5{?C>VI_^@WUt_1I|12*Fb4%&Cy?$ye0h;cQCOz!EGH2*Xnq9r zntPqr%QZLjBJScvDIVsLOg(w0=)K$kUar+n)OgBGSk;a}P6hitbY2;a&j2G}NZd7q zOp?3;0-{A=b2qWDkOl}E`4!!(UTmOfmB~iI^^<-?6>*CVR3X2}OR#Eu5wtbj20}-~ z@>CJFfMVS+z)9Xg9!=URHb-wEH8o0US9sTWX>rx~IGz`&LZLPMqMr?*Cb61#fp>;i z8yUvE&V$n>`&1z~tBX7$*@Fj=>rimjO(NapiIInRWxOJ~0<3~}7IFks$eZBS^C}dq zxc!P=pd{5>;MY6}Z&aZH(!m?fz$HAToK5)=^_-i|>xnxH4z?aQ3$3# zuOZ!eUZ8K_4BmM>!b%p?!wJHT<2LiYL|%mKgN*aAxUD=JkhZ?A$^;J&zySoNist9> zV~|O%6U2yT6Oq8v+I$z(1rlvLn0+T$!SP78g=ykbK3O~c`nfpXBBaROT|uuQ`pC7*SWi)r};OzbBSZzBz|*} zfvGO}6fd9uoqGi7@BGlH4Fg#0{#gWh->6auy;^3SQb5x zcL3MT=7H>SM8qHL*JBpRKe2eiODxjVAJU5r=RASVo4v()pf=1tVvA56QtJ{cjqw!H z+p#N9ciac1zXUJP5FZPe!a|*gps<4(&|g?GRFk6><%3&g-hp)Ur!#$c5BX2|5BcTz zzZ?**iUYwt&w=9ZZ)w*;(72`tEGrZ6VbaqqB$QXNBi zr$QSbT~2SIUt{>lbkKmcqhPn(QCzo`u0X|dB*Dxv5oc%k4a~qfL1K^gV~sW2sM_Wb z-XshZP%40tj8Jpg0r>@eZ| z<{d(q@{p!B2r<%}mqthPSWpb_HTHeNi=#HYc8(pdHN4wuH_w@8v<1&wLT&Kc!B~JB z?=0_(z>BvpZI1C5+YAYVu4CB{0zVY^p7nv{!N0^&*k%``$FKoLZSZ1=HoF}*+oTiWkRwQl+wN4pulX2pxB7SAcM*3Y?wVSILLzzu zo*)HEkKnjfsE7HHQ8%fY=TJbm6>ZY7iU_0$R|S#~dx*YU zT@MmRKje{$KV}+i{YqcY%SfXEIBUEYx@vVJsZQUTa6Eg;6Y6QU)h6AG6zX%`)7=D> zn3r%D4}t2&_&I?YUh*Q zBuQ_lg%^(^6{n~VV_AqsjRo|FgcYcnFoJR4V~gKs%mLxFR|SJ7xCh6(Q7MrjR0s{` zoLB@sp?)8ApMr1;P4qR@#qANAB*qJOrsg9`;}Q>V^7Io1A)MsqH5)ZjAa23}&-0m& zv^kzcL;`Vhl>X5Rh(-A~0;V7mb|w`C&k%lc^+716TNBujG4KR(DLG1LaP&stlo?f6 zfSN#(km`!6rcB5=AzJZ1>Xh)k-~z1q*t19q<_cmt=_MkS2?l=6c_VO7_BEX#Ad+*0 zuq{wntXWW!uaJj4;kjMN7w#112@!&Jp;-9bwLsV}@DT1-x+Zi_4i(~}x{`Vjb<*!% zrlF`HVVdxg@Fi*+6sp-E^v~^tb_gfpM|Enpy1)ZslcoKBKcf-R?PiX5lKV?_jxuw* zmfR=kjXEgILeIkH6QrKJ*b*T`(MlNTCz}@pY6cU)jq=A$TYRG|hoD#OFDu?K!^#~H zJlWcym=Ec3oQ`CItdR1^I$>{=Zl2cWeF#^t)3&jkI^umnvtT#&yn3r3Q15KYE>gRo zDnjnaQ$ZE%nZN?}Hex!fM{rO$Ac*C@6+96X5$c4Fc?rai0z2V|;2hW{{j)&e_D<`o zz}MVGn8}zDP!wk6FGBmkKLtN%cQEsU*#xS>qF^ozE?gC;pcRE1f(0u%&nZurtun%1 zFi4mSk2xBYw+XZ?KnQENvykvSWnr1Z)~GlSX^x2~E#atge5{^OPxn2!9qborEcA~w z5k6Olj3k@J3wJ?Ib9Sp#3XUp%WF04IfWA5^Z}R}l6MWF7lu$(#1ic23IA z77I=!E86YbyPWjc>ptx)(}8oI>FA-MawhLQBc8L7`3GK`;mrwBFYwf()kbdPlxCko z)v>6F$3ady;H*Gc7yC3ptp8Zy3gQyz3!#S>&l3tT!V~J}@C&j}8U3)i*lLdu_Gz9b z@c;*6R%7vJhq2XBswPCnjP8vJ>KA-sDv+~@33>8DIk=MW5?4i-nin0Lo|hJPEKf%` z3-^m8Md@I&^FmDbvUm9vrEV6Yh0MHFArf(#g9hVhb|^s}65nD`nP~#t<+Yi9JP(lX z4J6S>0T{+1Foy0)3)4E4=Y`z^g|ZIu>&Yc~v#d3aEXzG_KV%C#k%Pz&MZ44Akq^?i ziG^m7v>qCTCJ(179ApeLUvlFaZ)qR7*U%qm9?ABW1GH3Hl~A6Nja>$x6O{11_0I5Z zx!3rk%pw|*-3U&=|Dt`T@i{9*t^9HBWyUuymqyB8U}n(u^ZE1;5QVPSDgDFfgW(%JR%b+`i0%4y}Lu#!wvP42Zznc6QP@zziZ(s@Aa$C5P{9c$yx|nZ> zXcvwNgCeg8we$X%iSt*EY2hBmp628ed3gZ}t9iBZUg-Xz4#|4~zU3!DmpLpqZ@`dz zAavYR38bHP7<#~suD52108Qr4=O04+%|`=sk|`BV^4)xIV^ z&Bha82e6PzO|$U@kVI-;JBhPfZX{URn**%;>6-#DoYb?FJl!8h|3LMUQ{X@IlQH!W zBA|#7s_+vUjJ}V0dbr0ej3uHbo3J)(tugKY-EY+DK2d zIUdKkK*Ckbb7MZ{ zzWi?Pq~*NG4mbq+3bqBTiY7%%oXb*#2iTrl$9*c*<~6(yUIG6riw7->>;Q1_)@U0+ zq_hX8L@vPX?!MsNP)FK21cTZH)lghCN%-{&gD&isFM^TvWJopa$ zCfCb$S>yn~idl#yjsw6}%m-y~Q@IzpP@gV19(aw92kw9_ivl6-zOFO`2#ZnVI7!MR;RK*SQS21qTQa+n;iQ6q= zz-7flFbTLOp2STAZL`}&aRIi8#5PWV26-od4kKCIWaa6eE`AF?CSH}z6raTa1H7fW zun?^lH1lD&9`pn#2AU*RfH=}D#J9z@;tminz+VKQ{M#xkeq;St_Pm&s-~k?F*>G)v zxr&73{WjO(Lg_t&3-B)26+8qxp`HV86n&Jo` z644A-h4fSUtdfg`ckE44WRROwg$NBqiD*HJWzu6&Wzam{X_0`{$q$DN=dW?6^WJ0k z@w7>fNx|j=7z@)>0*WWgO9q`c2L=?lkiozJH^p4hS)K&!!t>k`38>nkLjnenxjtyC|J^P7(_67Tr?x5p6=Ek(-c5K#8hpHg2Lv>sAsSH&v*|bjbpDj!h3{NE- z7EViK3Mx@&c}{Gc#2n*I!kO&=;GB-f!6a&MfyXual4K2KZt5$-Nj}57r9Fp6T9`hS zjPVwbzyLW&PjIR61P@?#4N)gileUIg0SZ1ZF-{ud1jw~Re0Wv`udECJ5x&=1@SJD4 z$Q)M{#T*ZWa*n|5qHjsA86TW$k+UmDKj%aC&72X$O0bq&ythk+X^t6xfNr1jF=uy< z0~MFkgRsd#<&@=4`{?9^7C7Z7W|wQ;ftKg6Ej`RRmjC5Ev3JiY&hg9%J(f~XlB4hB zTW~!?l(QqJASY0Y56U@g?qIoHE+psej(s`bi6J{Pef@Ht<$p80yqm?8^EcxZkUaT1 z&>dbr{03`F>^=ESzz_K!^TkY4##8ytz|Z-2iOLCw%}4DGv0I}ayNqp5}-BnTMEXARc?0+grW_2OTi)Ry8_RSGL6nti$0(B% zRCsD?NV;2)3|-+qRzMbB%5RK%D6Ijcwjdh`h?AbgHH1?Iau9^zS;Qut@q!v?I3Y_~ zejHX0K`I^5wll<8nHLhJJFh)icMD`JdktC&Dj?k+Nx0PHO>SZ?b&_fnRDcTiYMdA`-Y`WbLg-(UGn@dD%d^OTFx}5bZ#Eim5fii1n zA*Rp>vf0XLi(H{4EV|Gz^SB6T_tN8(C?0x7G*Zw6J}*iyyeDd)7mI$2e-XFmX-NVB z=G;NC5roc&Px8ks0ux2v+#1o4s9z)~JYbwz_z~P7x+}H4nVfG0@6x^@n?<|CdnJ#g zYgU`c0oWmO0z47LLX|1cM3G!^UXQ4fn=0;>&y>6seS_P(Q6!(F>&Ku-SST#?<5o$= zL<#U2tpF#0J5*Io zOC6)5D=Sn(%aqHtWi=5g0Dx$jgOPkgngI?2I*3oDvKvu*s?xRNa1psE4xCi9VObOZz}{Q*%-X5w05H8Mz35oc{eWx%1ePI1 z3yTnTtfB-dF26`r#Fw&a25avXouSx5+fq?R&A?m{2H!*!T8BYDg7b8NmX5%4YPn)f zd8+7$2$&v?fk_idy8vq8)kRfBHAP|u5)^O!-?n2KhvOd*TP&^>6=56!d$ylaYUgkv zWkpti>qWz$@}dY4T+*oOpx!Jar#xW<+M1wbXOgN^FL%!Pn9@;T1~3lL8P=kV23D(h z0aRoY^snoB?1=D>l-a5rt?a0N)Y<;fZU0~3{-Qp`UE2=npVbnpHW3d1n}Lste8H`v z^WrMoeflU6z{pprNPR@>*`6Z5Xs0LgG3s@D?97->=HLT+lvVXqEloBVkancS1C=Ny z_-(e@a1`ixYV20k`KaOG9Hr?Zu~xO7l1|{ZZ-(=MXMraV`~d#Od#IWL;sI8GAy9?V zl99ijThS4jV|%Z{|KYY9MX2^`s>)g^U3QAu=O^<}=YNK-J1*(%4FdwApnxcfIB)_2 zf_v}Oz?pmH&Y3nXtsE(W0tyHUC@KgJP*dB}=56o2*R5{9Zo6)EZ|lb&-_PfK$2lL) z;mr4ap6BI-JTSe8Ih%n&ZFjr_o&{B9DmR7`z7lpjUO`oY9B}7tucLMl?glT%7{Z)j zq2eISj?8Xna$b?qBh=2!EbO`x&&qYKMJ3-7#Z-5TZNS4oc}AQ=Bft{$XQCN~Pb~~N z4t$eSX&-4FE=F1zDZ5zL=b8(wp))W;{F}VqF~LzSX;Q85+KkUub?I{&{gb zT8>UY6M#NG{~A5F_UlWI{T1+8RA~MS(kkdK*@eeiN?l0Av+#Y$C4CHf0MNfL*z1$X z&HoKp1xo63#9|QNac`JQOLl^c&|7euEsxnh20sNm*i7KdONrpVQX$zMRbXrHvNqKk zWLkO^{1o)fQR-ZkG_>J-$nJ>mAf4SkOabVk+pnDGz!KLJi7BAf_!WH@z_kD;Km_0q zD@}JRe|PUCh+F$A&OhEF=s(C8__frc^fTzY7CY^qfZqaroaDe}n?}6E(g$y5ZB((^ zauKa@JD#|~@a}o>zp?&Xaiz=SJ`x-pt-#k|*O(7ujBR|#zgPF+y76fMNgCAhq4f#7 zy`V20siFgYw%~AL5hjx`58RA8)@LV@qI&tSHtlWd;}1g~hn?rX-Z<;QuRxd1_hs9r zRi3mM;&U(!6~`0FuDQ^ef<>G!pt3fwf|r&KFtynlbf+f7B^YaoO9F)jq8*NaFPRwo z2F6KqLjWt6``6e511n<9zgbcXa*&2#5w#EdA}TaYG~^)shg(^EbB8|=SR{6-~-6Gyem$;gynfvsr%!<6TT;$0}cVdo5Jd9)^}AbWE}@Dqk$Vm5=0=laTJQ` zi4pWjUh|*#9W>Mo5q2E>i9ai1)6xX{gvO0tfOi|+U?G5W!b9K-LX*aq)M3db>mU39 zNl>we#2Gd#N)!xBa?|e%sS+E(b>X~ZLgEQr0to?7fw$mP$uK(vKoVT8DS<7((*y*8 z6MI7P)ZjZlBH3fwmL4dWl;tw!sqyZmESc0dLcvuuN zN^ngz0g4VRr`@FXopX?JERS ziqehgv}LqMk}yaJgdy2&`iDdC9+3nz$4H~4bAlPyU4lh^E(8&nC{2_WIMJl=#xD3x ziBaQL_!i`(CRotdP<>d z(p-VUnD>HxbXcbyYar~8N-zY3t_*~uc8FJjqBqzfDj4=QxlmB2fHl(>@Ag+>LY7U@ zCG3x-KbO9--wS$rBpZOPDgw3&-_cV2mC&Wv_1=_Gj@2)XK*lxpVbBYB)ucvm0~AaX(_^2 z*sxtMfSn-jCHF;FoFYKt3S2)OsE>%q{*{1q+{3E1YH%?ENmDSkMTy@cda162KZ9PD zmPk$jpNS4+f9Z(tu`k}o%*1VH_B&RJo9%z%elt+`vp^=O%$R7p-c_1A=Jp<957aX0 z06K7(IgrX@mV|hEq>Cy_T1w286;x?9zT~Bf+I$SrpnOXpg&}*AvYk4E`Jg7Tdt|AE zmDCp$+h5|k40XhDS0NqLVPuLr0+bscNHRqUovu1oTW=R}!`8_cppUVz(Y%*Y3;(LSjI6qfieiN8wURk}@@?g26)Bc!I zM19=!#8#r@$mZVe7;e$eYNw{Y{`-QbONa1VC~O zcU@Wsde@J1ewS2S;D_rpYKaX3aZuO5tC61wSkMr)6Te#QT!I?N!t^+|Slc*siK9hw z%Ovn|;Fi)T5yNP^wbY1iekPn@-v|C|LN z$+F*AjItQ9`@`D7rajREbkEVSOR2w_n_i+RE-4ZI;bY-7qQ)#9Jkoej0 zjL|J1*JvuCfjQ!q0 zUr0GF#kRG$yAfL*{4D>mM@6a}*!}+)gPlD4r^H_HXdDv6@3)HVa+bP+az(I%JwNGf zZbSXa;Zgib(fuAuu|v45^SDi`2qe{d#`i4kh!0;1KHu}!4JM0l-&TDLx~)2@3o`)n zv5@6NNKC9`^^i?m6B$@W0*hdedyHgf2I`&u?77$DD9df%#jEbTg?QWZ0lG4x0=y&a z-ZH3dPr`y@abS$)gMm$4Mqsui9uOrv-j^!u85oQE%gnj&2WYO}o806EL*y1%(l7a<9>is%B1%i=~Cr<{YpvQ-^2 zyN2(1FiU^u!}~9d4g%9)`v&$8s0&ZRyt4H88CI3d+OFQ>U1%%j zx1*i-a9t2rgs#`!49}X%mhRPs7oha658bax#vAPr+jy%XY0z`-*Qq;rRUVN%Bh>XI1mIy; zeqM_#UzXac^~{!O^T>{vzPbKq?v&~@a=mOjxL8&y+Y9QI?UvyaQ>v?F+uUkp`%rZ< zSL0;)YFTzf7;Bv@H0PE_u7xLgU}cj`2Hh+h;x)@Afi57Ijv?cJWr^k&d3{-)#M_Jq zL6pW_Uj4lgd1$k}^J~*^mxGq+oFbBh%%*?n)8yPh<{9?smqc5`@+q3|zqckf!{k+* zl^8bMx8*OM7vIYe5Z(w`V*VG&*D)DjEfUMWLMZ^5{1dN{r0HHNe9FFI?$(|Tx!Ms5 z_?J`(iCb^9@}I1fRte-_Zw+M$_~ZIa<6V`@{zd@bwaCK}RWK{w6==0L5>)ind#j0XW2dE%%_(0q5Xz0xVoc`vfsp4A627!-bfB zH2Pn8ntLR^i(QoO%rk`()7k8wMkb0rut^}Et)f8%E{fay%fbtSJb0(MwW7YAn(D$+ zP)gxO%YQ*YqSr<{g#kt_^bp_`iN7MK{TF0fP*0BnP-%<4eu@YMo#so!3j7u8dJOme z5dR2;tmju+g&;a2EYqp;s1y~(kQv!kU|Xz93&JA^D)@&Hu5c`GlCl07Fu>4OAu|qk)DK; z1<{TkiaM#&%ExZ%cqBBUhVT6V=ndp4u<#MKlD*$FnT-;(!pqtE=vbdU(TKt4sb#Xk`)htjU5-+ zwXHi?u)*rqlTo+3t=UFMK-vpMH+&o(0KCi}GWaI7im{Ms8UlQeEoW3h8>L0?hBh5% zRnfjxzk2^>?~Qz8xZW8qh_D*AH}W%km17`~Cl!LBt`@vJ|%RJfqQXq|Aj{G-iSRNA^ zSykJK9+X5jAe3?zxW@ND-%feB5Q_8`(L=pDA9vi}__SkF=dp$@oq=Zc-m?}Rh-}t9 z(@(q`4Y3;|{1<&Z07PG&g$92H%^$@0HTd6bkPK4&c0mdurHFyS_Z?@^4@|GZPPICa zqeUEpw6g<1lT_K$ankTi;_-@2Keg1*|m(CzuGTCFinB0B*N}e*G*VA z^tn8>bBS?n=L6sHXt`Fw8&}(wRxquRr z%`p}vI=>Y0)uP#})jJOm9U6sS?QJ_0=vBkt;ByAuoOGngCo}_)ig)#F;BJC*%xhg; zgtiEZ*(>>no(#ZA#tt)EM0qDvQH1mxYPQV)TsC;Rf`J4S5YcF!LSyVCVyZ zLpHL9gL8%Gt*L{>+@%I91Vpk8Xe{~aW+GwqCs)9iueC%;h*obSM%_G7AF!PuUhw07 zTge!S!g4a4?-b_GO+KdiFNbA&G!Fp}@QIR9p@-zG;e>sG?)g4vfT^_2_> zhlN2Bl7orWgaVZKpa6| z9*UyAhCVX?)2yy_WbG@n7v@h8v2cIu6J}JC-$UVYlmekZDp>50p=}2LJR3>};1rH* zh9adqa_ITcD71#}rU+MJ1Vn|aB2p=2i|8RrH>xpfMO}cBuDA@j1NT-OGuT z?Klx)em3czG90nd{h@M^_)d9*^QZE-$u8mx<(p1-$L~r<#ErG!=%+&o!+YGBwLCBt zj~jkzXz9c5T;(6YZsoUb)zGhM3h=Z<)Jr4ALI%Q}Vg1ShrC_668HJA)JmU>1CoJQM zBTBdS8iR4%d4n}ct8|oaGryt?8Q!g|CH_sMx)XZlm0s@l8+It8hwX=rUG^x0-G`vg z!v~d-?q&stl}p?&ao9u^k3;krPI9LX&*y;#jzJm2cjy^~KEu{XDe=_$i^{^`vqgV$ zhl%;a;lpjxs&b%5B4n zsP5rQ%A(=U;U8^#i3Ty$P~7@Y#NSF6#5dw;I(T@#`Aydv)?MX-+4b(@;MX;c;mG0I zaEsw};hVypm4BMMDo?ErG}FeBl|$z5%&&NQD$xov1w%Qf@KM?*m`c2Yp|DrPDI@Vt zifE;?B0~9VI7Ue}Jn>a#*V!rpm4meZ26JnD70VQc5u^-KWEn|wWWYF-usU*yz_)f1L;s(!|-|1Thj^4t0cGfA2lF0m-HmO zo3vYALRXRIIh#qv;Limn@FaG=$)kXW@IJ+?uv>VXJtxc!Z6nF}>pQlL1jcD4ogt3` z&eE5|T#dO5UzpA_H9%qVOx{g;Chz1Jlhyp{5j*H4>9KsH+kO&_ypqanwYNDe-$U9J zb4?nj^Iz}Jp;&4GnK)QGa-LK-a+P$2a-Jk?R*`y}d7)cI^vOp# znLJyvG2$I*_s9#<-jR9wL(1%kwQwiBjr4(p7t+X!j8|*Fljh_nMv6xemAUQ<84qA`MhIEsUWAM!tIeXxOUU~fCkFnaogtFVPMal? zdwYs_JNlN9e?v2un?yi3ce-rI_i5<#SgwAgm^-T|5u9W%DC}F$@oi0ZDLiYxLUIM( zz*%92firbT;cs$SQA<0jhyol}%qx6*Z@~rLrxkMHX5@KAuK**^!fS@pV4l%wuv_qT z>rcaPDWV@B9*csfid%;LzesU1`lVrgd#hN-4gtPV#0uUkwy{4cVj=%1 zLVyavH$@m^i@*eWQt(T`p#cW3KtO|wZ1CU%;2{rW?M~sV!KV;$>rSE3&@;KM60mt| ztK}dcZasJkjvM@8zz9DtUL|LluaSQ+8dZpTPZdvWSPCP;bVEra$sD;dwAH=)MtBA9 zH~XzAO8y7;DD)KfXAKcBHEIPW0(`nRFSH3LR&>XAeK@qG=wG`h+mPW01%7v#n0_Z}bl-=ptF zPngFBL<3er$R3BHRl^ro))9USw#9rc#oBRUFqci?_eh(haXcK%?BtSmWrPjgQQ7TE&0SfNvVkngHJ1ua+oO4})fgUcvOX!qa;0x!cN@k=3O2UvWi z;oMosUZ;2kUzC6ZkKrQKL67@z?69x$Un8=^#Lx``@^2G;R&WLKfnQ4l#;aAo8HUI! zs=K~E0J4K8(3>p|*-VRP`_u*SsKBpLYSm_V8$6ymuG))!X~-ML{w@E24icP&r?GFr z=}QV>!?Z z`!7{M^Fg>!RR~);`j=TG-p`PC89TDjn;maABK)E%sr(cEPPLWct^7}3!zVj{6~E*` z0-yq{1}G{Nzf@&bZ(=FVGXh7ptpcq^sr7KAX}q5ztwHrpo;$k0ZyXV{YDfNV8&^JO zOFPRbYg=BiOXvYA4P8vROcGNJ0b2{V`(Y8cD1FTXlxF1N5i{itN`UJ42rqQH*|_DX z^e6i+;vE^5)%d_*Jfsnv7ZQ{oxo z5Q11s7(HMQKpzV~4lPIM+h#ldhCZf!Toa*ILccHah!|s*y(OQZM1U9ZpXS|L- z7M{*1N8EUlhrrWQOE_q+iDw|P3rFyrbhJXo!fbv0Lr&%JF0F}uL|2wXWEwZXu9~6l7q2%>PfX68Z8!X5O{awvAI%^1u5D=aJOQuu+vtH3VAkIoeJSF`l4DzhcS zgwd1AHtrZHe=Lc7AmA!+or)fDzm=xKw2Xjvs_lB@F)LMR#<_J>V=Ji%V}PEUM9-pE zf`O3@RMkj&>t0(<{~=&m|5~S6kSov_cQxXP_%MgsR0142sfxFGhVLC5L)yElEq^janZm^}Qcb$)jVCW2G zj^fRWQEi1USLw#y*P6jIRUN`ut7>qrDoHglwnR0?NmJ#93RL|hZ&;78pH!iG7v9iZ zrNT>URF_o|w7Q{o%mX@d@EPQhxXgma5$EH=hz}77g`_;FL4en7~73!jBCF+#LWswc;ny3MFET<8bW4jrZ3TQ^P zq8=GDg#)&q8n8Rann2n^`e|L0{>h+{#&x;!!o@Z_#RuA? z%uJUU%|!#yJuHvw94VRw8M90+7feTd&xkjpu6g;UA9U0+p^+DD2NK*(zc|uUE|q5) z{gQ0Ao4_oh5407o{AN(3IS{^FZRrESU3W-IYGIX&`Jlj@TwI@#U1W2~u4U%pWXxvN z76S`^3jl=oj(8-P96iwn3WsVOth`kR+Ma|TXv6aanm`pj-*{w9({@1L6UXZsEOY0gTR z)41a%%X##FnYAuGp&NxID*LT!zC^R1a+~m^T@{uJM-1;zO{vG0|$?4mI9tpOgGjRCF6fe z2OZv0MiIX~-5~p<&uQnoyoy3guZ}o*pztC6_Wj#2%gXk7-qm!jPd7kO(mv)UJ}33O|d4g@`7vn}b(}@P6&A#c^X(o3OqjOanZMl+=G7_^NL+ z>N*USv>P>mT<1ERGe@?_c$eT`!XCJxEgHBDEW(`kZ~;x*2rM6HHHi`Z%gT7#GO}FD zC~68uLk@(K+OD@c7|#40-o%O+OHx~@_Cn@o?L0JMgF(~UDNlROJt7cFW!jxiECijm zct*4m7i(eT+o2quz0FlEM{}R-F#2`H$pHoyGfu@@iDzYMDb-|`HW%=hS#rr3IwETW z?AXdfys$3Y@x9^Kw8k7Ac(-=AXb^L){hF3TKi#ji{!gOrAOZ+hRraE}N2srqcJoG4xR&+7{6N29MB| z5;(@@pe*1^;Lgm)QD$RIhB{Qk+GBsJox{73IVpC9+zmMqrS%+c9~^KC{;U~qexosW zx>n@ka3pXZ^@nL82#vZ)>*v9(85ZNIdm9&!G1xtpq)k@pGtNh9XS=+{(RRYjL*#9k zhgz?(=k$f~ndJU{0>adi1gHm;8uSdD+X7%G;r%=>QWR4}6#}o1mv*KWQNoAY&!>b* zYFH^DO(5g(n?u=q&tktOjuWEnk@Pc0Gr0qyd&|UjvzXB8-!O(&v{jAx2eG8_ zqzT*o+d2WF(`OBQouoCmK~mk<1#Fa*ur^7uuv;XVAt3R3Y@6hieY@l@&$Z**a}xTx zB=Iu7L@GQa5lZ?bP7{QQWi+XT0eC5qOC}}Mm?4Q1a9H9j{fGZTQV1B6$RvQ8Ny#~< zBN8LQv?K~PE8!J=>G;V%Z$L8n0(VG4M|Vrw()UUhOqchV35XNUf+G@F!Ep(7;-n-- zdPc(FpOZvNFG`k5uSjyGPeOtPHzi#@48FHO~gWab0{@P zlL7H>A-48q@9(XmY?p5t3v-R226Z^MM|V$$o*MO1byM;jN$phySD}~WSD|qB$i(g5 zYS>jMlC7CQv-7}q3D?GOf~(MLf;8U;ZRfpCk8K3sm8&LR^(rRtP(DOIaU1${NHMWW zr4rp~USB&0=7aaQqM97kT^*AncLh~N`z8QN6)VRR51}M1aa~zTGZ#gmaP-_)PccmgEb*-FWbZ0+93gEc4n^x z6mp6PPgx^$8;$?#qzhE5JZ+uVthIkh%6 zOD7L?_u3w<*<8t&g=dY!w1Zs_ozk2Kdd0T~PWg1aOq_)i>TALfYGuP1~ zh&8A|S$hs9YJ=3>%Tlx-1sU32>G$R7$; z0ZX(EhFR}%JS=yG*0HZrYk{xUhJb3d-zjz4@3{=@8m;F*oi?m1}S?v_4HQG-JzR9 z>*#O7?+u+9D-T`Zyz1@Z2!7tQ~q zKj!c}QN9LQeG#=kiqZQ3k~sMc%E7_Ueu25IUG$CMjcr0=q3d?cyCC~h6pg6qJr;=VU99Id&` zDIAw!oWoT&+jDdKJs8X>XKolc4<5mdnk;VtOi4!E4Pc}O24qUfnHyUi z!%Q6+D^hKt4{~bQ=ch)d@>P>#JIAc1#yQqg1oijcy|m>F{M0$h97jW6;1H%{!`DeV z!#}cMuvTpZ_~K8+USYsOF)F*3uI{Pml-T5g4x}-(ed5w2C;O+*eFNY4h*_vFe@l>W zWn`GwGC-(rZcwOigo(m8)OXGwmjK(;vc2@g=lGPK{(EvQ2RKDxTfiyc zSY^lh8&j**u&F6SO%xpSsSw?rj$2cQ#|l;PD(uu@j;Tt|8K0W!UKR6p@|hv`iz#E} zF3yuMIIPbIjDA1nZN7Oz9B!TvLpj2aGv9*zZ|eJ$Rl*|IFK#8%nL!NuSC|7~_8rE3 zD))%Yg{1+Gm}%E6mTIdJtcOev%-^+vwOLmS-=vFjJyg2Cw2WoJLa+`PQjzIV zLIg{LjB>pnsa?~q`<5j|9kR9-=f~c|HTC_<^a42pJehGgG(=&@9|pKu-hEs&*XmX7o(XcO}# zd3Qp+@l)4G)PFY8z*dMkt7M%F?SUF#HanMTw=lbOWsrBa`*oLe2X*4M^KPelO>>Uw zl1)|C7j$RRigKHDTO2)uP%)%{E4o&(i4}u|W>vX7Wu654Iu^ygW1cka0-m>=%HKiO zO9NagSv4#n<{2}>@~+Ju-FqybIShQHdzXm;Z(3era!#fw@d7obK2Esp_!RUnD$jMD zrANQop;vs$zNhLq^G|6NT1u^OyrGjM@yC}GKXm+CdjwTu;Hm`Y?ajV{vQDb)BY>WZ zAAsLiwG-S+Dp+`?2DWIEjkYk_8@mcs2)Zu1RpLZ=V81MF9pFpE1n_@4g2k#F6ITP5 zp*R^=!^(6MFH0+6kw=r>WzvH_8&$bpPH4i?2_mOqkYMr|6NEVfM1a4G z%v|5#{*_4F22!5^AED|hG>P@FSbLStLB|V7fb)KtEAR&Xmq-yjl(@_O?-F-xvEJBu z8^I(CXm>K@Kj$vU+U0ZP^}+61QmqC8WO*PS6#TD`TUxcE*yO-Sx1lAsPCDUV0C}tf zCJ&h(O)dsR7NlqF);(mlu>#`;SXZ`in8os8RvbXaN;csz_v#|-c?BSSG`Lsajrj%U z7B^9^XHDr#O#1bs+89fQZI{lWY!!w#Nzr(VSf&!AA&<+C z>DyU{mM&CYhuzgjcPS?2^h55)aFe!{R!$m(@FaM)6(4h&Xd)zf@n$%#|zyqHWeBz+Hd2)K~L|y6Q-n<-r`( zM-vWg5$!Y0iMxh9q5BZ(7x=RTx<~`4SA!Tj+&FmU=_*a?oo=A z|2)i4E9QOuxgvM$(WF7W%Jqca#~NN$r*me3R=}n9}6Wry^C==SOnR9XCCz5n!EnuK*+|6D(B{~?qBI6Tdq7T_-695;jV-C4%P+orRo zr(ox&M|8#Y1A1Dr%2s6P0ekfgJ~4q>$-e17rayoWPRC83n&wZ(0FF*er%&il8A^Sn z{}Da|d!uh;2IIn92-L>HTKFfuw4jOlk0lCwxM*qAeb-8lSetVDFW4RSn2ggXx8T#g zN{i32fjEYJa5Vyqx4U8cCgE1;5#%6hzEN-9 zv1|ZSod4FTamiZNbI7E{hroWU-ORtP_BK9F_SSUD<%sk6Q<&mnW{LwP+2pd$!XB=V z2`Yn>CcyDlGdEIeHw#!%R^HKitH|m!hk3nOL7bJZHEzYA#pmkSnOe93cTB+P9pPyX z5`F3n*>N1_898eIvABh0l$1QPV1H9bwcZiYGQFWVe&)*b{rub+K*D>lb;w1ll$nf~ z)70tdHjC<+n3R<>U-MsD2{0~Cieh_<_Y0Mm-^+kVaJUn`#hjn`RkoKbo0 z$f$FQ1^{M6&H>IxLSO03O@3M^HwrPpAc=vYw5rqrazyshgtqF9uGTgSXM*|*7eENZ z+h!JcP||TT9lZonrq+(~Ds;%fbtXURko8-qk4rsfPJ)*Ls57U>3#-G+?GSIBKCD=6 zP;gq~_5!;t>UM7Q%plgua++oB5hSs5DGWxI*NE?c8&lmNnVM@6f8}tCv9@#Kj~-Zu zr><^UjO{wN3bjJKW4s9u2>LMdFgL??H`9D(UCAc&O{*nnzFnFX zuL+5Z&pPi&!dT7-X75@IZOr@)@; zoAP4DH)IIIh>vzTOw@uet~{fogW#@+Sv%8@`E}EK9Cj0);3|6m3w~lbM=k{P?C z;U)vANvnzx;1%_)v}EvdNe^iqeR#Lnq^j?v}EvP%f}BJSgdK}yv9 zgw-YV9O&%R1WNVe{6bhV_>xO-iqY&#+g3x3l1;J;c1>TJNy>i|u@odP>vr*TK4g8~ z@%&7f^WP4I7EU%g^!b?*+t1=DGKCo)9aH?;0$8hewh(@z=4XFl;T5NIb7mjrE=j)7-8&;dK5%SwOkVbmu%vPZ>#5I+ zEwjYh)+d!}*h8kcDlABQLENT~LMd3QLql06aIIz={xH@FZAAH&*N^RFmIivw-V1qL z{&aS9vJh0Nfd~6@zIyrwy?1K1;rXT^S6z<{4lY_KGYL~90My;H1*$RpSkpvr#ZB2gSnJ-KO(=jIu(4x!DpL` z)#Ld4%=I34htvJtIf~g$)Nk>2vvolxHodWpV3Ph^0z9v9@T2~h?eP_jIQi^4onQuA z_s{g<@ex9CX{;89dp`rR4~OraU5)#Ofw)@Dyd-zbT#7ahuklF0Vv3ycYZEgoHbvYg zZr3d0L{cDdGag`TKE0TBIN)&UaCPkTW}P{!SvO2hHmC<|)uC7&I)7J=ZnWA+AM6_6 zA7~G(4kL9rLj-5)kt7uHu4_cd_k{@Za#*#99r=%Jk zU(#m1P50YuUbn@5yY2{Zr!L9{%^KI=1sm+Bn4QG-9c) zOlQrU=#6h)&~#16+LloS@Y5oU9m5I|Ny0#9a!g&5y$3wRv!I5_ddMyVL(QxvLtrGD zmpj6HCt_O>wBEj3;U7%D=lL461Vk1!u&TbdBAL;5Q?7Y<>dih6m%m-NS)X(QgB(Ex z9#;_3vFWhE5jQLOm>2x0+!yX%wm*$NE!(z!GRTy;;(xN!_@5qU<((upe<#G#f>dn- ziuL#$e!1;Rt0R6jCNN_s_Mp?vUWIlowiMunowij%{tIW&AJ2xEOm%&nqiUGG^pH!c zTK4CmJ!}d2yXg~bJT(dcnBT#2mqtU5@z;-Z3YdZ}LFL>EUk2oxEt(Zw!UM9h4cAw|vznrBajYIoA#3Tmq!l9n5!b`;a5*gwfIVa@zS zb8=ay(`M^oP#_RqB`W|713Nv3XIDYZE24@zm}D#RSQQ$gwt8&q#B3!@B}m5elWu39 zH=Lw`#+!(LHmudI0GL`f0`5e71QzJ?D~qh_60Q!c(X51B8&9J;%~Sn2F3lzP`(<`X z;+^7=dG^+KCEHM+WY~T;?20)@+!5Ic{Eo>~=SHkc?zM4mkzz|~qz^1DGEQbazql0V zUWPN8DOtOQA&zXqC>x5S3e*Y$tS$r69J`z~^VK7`%} ze=*qj{{erHE}1Je9z?Uy5<(-0V|UZz4~zXW&Ab;{IOG`=fxanwRmOogSZ072l@$X) zm`DBF<|jaTHn4sZ^r!ju)E)D_>2Z?fOjDbwgtr-gI*y7Z*#4n58*Af-(A9cm$xvcI z!mfnx^G{Jn`?`l}9p<6w$nU0GwRigeNJ$v62fnm~lidyd^QW4Tecpm3HrIO&{6KA* z{JWRND#pZubjF&({YcDcqJevSa(-v;o3IUWy9VTmgypa1Nz1_|Zf1k1{GbB+Dog0V z*_>O_4E2mXVLUl=0X<{j$=NSVo1L2fxpY>1VZdep+uz-pys)xr*#dbWD^Tm1xsVRX zDT=c18A@Bo60QISR>5-JAV!Y!&bmg?;_>Vs!`E9rnnh2pUFhw(*Zg4}yv)TDyKn{J#>z&5e3V`H>&Rmd=4uw$n*m#V z7MiK2=fACYLB0i^8stNrYP}Z%gsFhHa|h=~L#sWv&kZdI%vuoYg>`C+h*w3Yppy%J z^SM4<=E{CS>^h(uLDknrz~P91LeNi1pLQFtcm6%Xr)6@U($S|$IVqU(-pV(HomY(fG_=)JelAs|SR7K(^UQBV;OR8V^FMS4dPL=;2>M2dn6 zqyvHUMiPo$uLZlJukG5~{qp^>`Rz`2c4l^F=bSln&hzLh$&IT&Qs9QL!2Kn1r^>nn z#)gOgv?*$^W(KFPsHvUWeOlNRsIl8bBQ+O%_=1ZX;_|QQJdF!xW%`S_U{t8#u|xQA ztj0^dj4PgUA^>GHrEj^7p#K55a{889%PGF%UE_wc2^PjWMM?*zlCfhu9M$z>bxcs2 zSv#yxE2ygIIw<{Tdm>y@-DclcqfgPlrY%n)R8JoIcnS{-^mCnY%c+2{XKvT!`usN& z1d1?yJ}Q5Ca@w~?QDc5ak8peDo%M;-UyABh&jxHAH&>PBMYL=JnhcaL=__BFNuRC& z>>T}CMr7|gVTzHsd3v|PIxgDJ32H>xb@}VGFX5I>XRX{-+oZ3l+WH&8ilFhp;merB zXNS*gR$f**QGGdJhgss^E$){aF9(?Kz0{1`e<}8oU>w&^QB(;%<)`L7R(vtQdCFyK zPjIg?=z>-CeiZRSD&}hO8+r6lfYU$Y? zXL=Fa7jPsT6PG@zh)*gYi@x6#rfQ9~|aP522s% z2#3TE**(Km)PKYc5nLUZ7hdC%t4<;2rhYJI(p!aawFTHXy#7_ml{&t3=B1ZQ@4HkFydGXB@gROK1B1Wf zYL3^Hq3BrQ#VA*NKM;M<0`G{w0W-mWmUqE(31;SjILO$(mO#Qjd=h30eoNk0#8|02 z)*l~VjF7?1GZFE452U|+TcYLoPJ93;9KS(WNA1GvqNDIH3Fxy+1PlCNm4T9D&23a1 zeh2c4%VTI7ewVH6Y51byqj(14t?YWuWp{(`;5trmdVNY=<>^%AaYnmU_vD#R?R7(j z-CEPvPu)3&m^LCF-^^&=)15%b1=++LBrp{##@@)kR_Y%NhI|{&I(L3BgJ4n3FDjd1 z1%IfO3vY%i77;5oeJTk@n;2(R(z75&KKF`#6Ta0KZMs(!BttLU+8GS{EFW(6#l3cW zuuhCm7-nBSP8saFf_s$twZkJf%vc?v4rLiy`24WmN4%8YF~su~79}8lB0Uk>cr7)@ z>F>?LvsjBGzD>0&5bIj+^r0%kVHdxfs4`*~5MQ^)sjF_Z^_OB^EzGybJ17C{7i=Gt zaLqv;fkr3B74_xR9c{^lzDf9m`qR-3;i4*W6EFhwcwI3>*Y9M{9%#J#KhFLwGFFY+ zYd&2Fy46m=3?D+st6jrgKTm*C^-_uQO=pPl9X*W{qOIRjE2`st<|MJoHC!PPHlgv( z=67wp3>R#WXgssUZ&P|0oP-Nk2!^qVov<|P&^`~sD33E+mmBj<8RMFNE-c$q|XGy{&OQBk`jri z*$$Mu{8O}g`LM4FX=iPsvQ0~EoeM5eS%>s=w8LAkP7mi?*?`+}Zr91&8izqhbGB0E zt~mRQVHnA#Bn|3h?_#Uo=0rL_^0a29;wxdZ?*K~bqDi6=gL>nu3H=WnlWUDg?@@l} zXq|j3;~g2ruEz7e(K z;b1@b-*H7mL5qG(Z3kk{m^Dnh1NNi;BxZlD3bBaT)b0|$+2@gue(mAne+l>NK%@So zqd*AhZ%s?>u(caWP}kG_CQ)wCEd5Ax>GW3^xFn`Fl@wPzOd1^hQKXyb0}RGzT+I6xUG^i^MC$R?-wv*Y7^WiFga_LS!hq z68jpQtlC?n%eJBpJERl5iRb)$iSJBz`eTV6##F7W@{a`sV5h>5?DyIsME9ytBH7o& zyTF<0t8_LHlmMF0*bR~=XS>S~gM9B5$yif-4ndA#HuORg49(tT>;}%YW)bI$brCNP z{iw|&s`Q`jFC@w-CipZHqc3MSb&l;Rt|wAt*y1PbDu{RLG>O&4;Xd6?CgfJ)C*?vUzJ7y`&b#?|M)$f9LIYGS86V8DkQ%ls#kp{WROQ3 z&5#q-(e3x4Wn{YZ6=O^2F|sS^zY$$%qH-PC6-uvm(Jr|-+VQ486Eg-p7?4+l=-7k0 zvpsBj$g_@cdM=ePQ_@o+Nj;T~8lf5^x^NG*LI>_R>NCOk{q-<%KLmX5Butqc6aEJ{7GBu14sm75J{2r%kDG@OKO8`Cta@#BUvcfraRe(lqG2(ZG~yURw)5Rh?yid_zb22O_mLswSTY>6iDG%eYN~heFrlI5K6bF)r0QRJ zT4jh`v-2C0ALWd%i_ccdPJb@s$HbE2e=@voY5o@(ukKfSNlqr_;}{{Uzg1L zFH#W2(Z`GoMZ>2Z<2y))fZs@5&_l9z(Njc}%o8aSG2)??i=rHDUZK2BoSe~a4a|}e zj!dtmTsY?rD@ZwCaHj1(F&KD~=!FPVCi-M0V9lgBV+Z69GMcr&_j#r-v2>EG(17Jj`#rW2lrO>INcpwv@oD(pDVQsQ(oTmiqc)RjT%A- zrz%iIjy=##zH!vI(CN`1R%e})sqE75=%51&KCgQ3K;*2i!>j7IQRzM>@V}Ay)GX@l z)+3ZVkgY*QRD)ezQ>(|-&mmC#)*X;dlrgz;l#j!Ml$#h`zz0$_mT|7vPkL|*@e-xH z7fU%t_)V%I6cFLlrsVYcP)h5C9h4I@F68|bg!279Q|fQUUhl6Je3%osH9aSziKI@o z!beleF?%Vx{V|kl)v=VO=y;01eF9}E9(%BH6OX)xhz6_;$%CcTOll>ykdjJ4^ey_7 z`(CelL1|CfPaW~Oh`R0jKZ;J`2g;-}IzV3WGv%tLe9t$^r-2_7HygK{uOuz>!TQC* z$#GdfRA|EOkfHSusys0ta8rO&&qCGw=qX6*KS;AidFbadmys-}6OpdsMp=-3g+;wy zl*WeFq@lr1>UjM-QZoG4rRW~J=Dp>C#Lpxw@h55VER(Ww8deuUWcuzVM){`^E3o^C zhGy}^42)CyLE_|8#qT!GioBnT4|{+DpmM?h-L z*hGfZ4iW!Y?t%6bhl%Ql8>IVvw@G1scS&I4Kcrb|JbIcaawHPth-Bh5;vCVCltk0Q zPf!c(dYUJQv9xbSq-}Rxqnb-+)^KUVfsM1oL4+PnLX=lrA@-7v6sM8z5>vhZA)fBB zHwvu(P;{p9F>#i9y7xWm3z4P%jd-dreKQ6ZiaAd4MW~Q8#-EaH`-4fUq!coW^bplU z>!JmdTJq!E_W0_MKFOqrG3g)VA-}j{Gty8;W*Y@Vgiu>;9DznNbycK2=?S@iWP|LQ z@PcgN_ln#{nmVUe>p}9}`Ho!SW2JPYmWb3LMJYdR8U{j1AB%R7XucrIVMHVepd{Bq zDc|#86l01aC11vQkf^3j@w%AeyLL8_q)M5NJxm%vB$G~(<4M^*Kkag>^eI2AUe_5> zYycC=?Ll$8Ii**3*|C_kPAernp_P+*v%?*%mF+1n8yqPUL=45f7H8E+>KkX%T1fFU zd0IOOL!Oki4@o*fIz>56`$0ZM3U{zC?j@a~E!7#2RvX`=21xsChDhguVNzb*C@HR_ zWlsTSgk&|^W);-QV8uf#T-A`h-mI1Hp_ZnLYgE;(X!L`5ckr~T37l}^)QujG%-P*_K?J; zr7Ikw>Dorn_WKPMSx&t$ehdCi9anUxobgLL=Q+3)g{j9=LA0L!F6uTSk~T^Cr3g~m zMq%15SKHEpKo_UFW}|1{;0CA!>JU{zourz#T%qQ;$C0~c_Z1(bML<(i;%WOb6=stt zH@mg~cOWSgCY2db)N{>5*Sed??Kx&%e3p5^`>nUw-NWwe(ysgE>nYO@v~k) z5IJ#nI}I0h8feUbOZB~R zc$-^w1nmXn7r4B;mRMFIe^$9|tlG0q_xhqrpZ8So7-A&wCg1y7x>Ib+_xNN6k!lkh*Qxj9E z$HoZp{h^3QHNVFXRh^j9ByQj?6IPFr2~W;W6E2{BAWzQPj#P9j6RWxpIhoGkt>*|F z2Rh-^MX1edT$?wG;Cem}%q5`kaZnXJoA8MIj36aIiK~RIiPs1_J52C532z495_Zj@ z@#Zb8Rxv>VS|XEN&yO#l0`UJ5g79knA$Zg*LLuei4*Y9Wm~2JHBTUZl2=@q@_&KY; z16vc5@Vo3)I=oz-`9O&=csTJQ?k?&p0!h>&dd-#033iciD$b87&I(R*C}PLlOF23% zlC)U!Lz_wa?Tf56v;Ru%r?mB(mD)@N5By_!*x?Alq{ET@(sMrUzscx4|V{dFN2+h35wPeQ2BfEEGhP8JZ_mLXqL5P<*L* zz%>f9`UVA2`@98}7nRus{7TtDbEYmN+@}}-4=G=@^IHf$(bQ)YAv_Nm3q4G|i26O? zQ|d)sp>9oFqaMGgTyv9pOY1fjnEXx|IOjOy+wp+WB8wUNJ&ZKT^Wzpw2k_Z7=bzJGEaR0p&MZ4eZ~pUCyDXpG1S`wRfxmXdIDkYdXSSsB6V^4QTjG2 zCfy2uh$^N!ChAb{<)ly_BmDK!shSPhRH4!xNFJ3-T^+JesGvT%5bZZP+h?~|DQ*5M zx`wJjl+E+_p1C*VMVipBe{MJFHu5XAfjT^=S#1&6(ce%r*^b&lQtTTV7!0<%IyW`< z#(iY4im;t%K<=0Y{5oeNX1Q}>@^_>c6JciUF9#J06T|_;lggQQ_j8`qmTIoSP zHn%Z{vH3Rgo`M4$slkO0jK5H5BgpvAb8tC_bN^7k%^k3MM4duErs9I+sOzW~RJnPC zGBE$LKT26)-Ufir*ED~kBIZxcqvm~zROWYqlwe0e3E+ACP`TuDBXhrdy7n3Xg}wVB z7$?U7`QGOF<24qb?VukBU$bes`N(W-ruS!+^Jb2qlS(UUx;|Kt1Lz_QOkcy^2PcA| z^eTBddIPu#jG)8lzciU8Dd6*_s&o{+L)jbDp?uiqw`K}hTXy%)uvp_?g9`Mlf&*ZH zu1a?`*dO2pIsooa5IFlo!l1p*Dgg=L9UyCZ5Hi-?j_wLFv2>s_PkvOr90rParmv_S z0jtmz=r(kBx=xU)Qj-7p$?-5h+4J@X8_|R4o9XPF{lO0OmaE=?Ki!lbA*)%iEX|Z2 zP2WkMtR2}KNzVkk(+kWK=ur+9phfq7@V^w^{4MHN%D;1WsbA)PQtnfi=l@cs+WyRa zFZ^$A4tjGwxaARIg9@F0Gx%WMj;cy^KleINhpI)r27NJKBYTJ6RNl-74!ppR7k!uS z8wzO(g1nl=wVH#Q=D*DU+T_+hrTi^<*Y1q+b+tU?1I0zkW^j}9FZl=HuWrH0%@Bdw z@A>Zs?Yu8XeSlCl57A9Sxsd%J1s!gflp7FkM7y_a$DVR$y`z#uxkJ<;GPy#@80u2uPxZVuWb zV@p;myOCj{e!kbOKhfX}`Z+xx0?&HY;@J{}v`-H~%vUR(Ni?_z`;@=Er41+w@O1Pk zMIC^TYsjp(aLc!f={3&Yw-1hwJy(nDG_t|O-pY0eLXrys^K`|9r(=!kSl~MB{;|LK zWrR9{f;rY3U1;KJ?y+yXpf$j%wXZe+C);Bj-)(_VYmCU#_USM%uBf;FALd{BtK4M- z6{cTT2MJtglOgVc7wi`(IgShWVId2;3xd|Ol{cs^mB#{>6c^{NMT_Cl3ttq&&P8Bz znh&5R$n;vP1@BS>uo>mM09DTIi$OZ%Nc^1IDZWzr3)Fn%URfllcF571ugUJ9_b_*) z|0bl`d0!ZPqp}31l-pbWRdsxmLAqVQ2zFg@QE36Ill0!Z(z!7L2R!Q|PaL+_ zC{m(NNg^!d{wk~3A`bKX2+Fj5Wm>kAbMZ@xR~KYxgrnS0CH3Jr+tV*~<`z8FZ8Ybn z6ChH^&x8NrLC$iW{R@c(n%nLI2fRAfD4}P~1}?d3Ur#o?a;Ljng+3)UJ-XAqy%%%x zsB}wv^tzf0Xlq29J$iqVI?kSM3rDuk{1)x_Q1r(%pTSyIf_ z?hVZZ#n`e8oHcIsB!auAM{N*#1r`A#@uOuITve778%=kEAB|2sxNV+OJ-6HYP-m>) z2`4$Q7dQB&ah>W5gQnhA@K=Q+ATI+2)jybug_AJ@rxkVmHU3zTOUmTL2aZK?;1~5D z_`MzRh|#xN(yH0{v5T?LVrHru9Cc4^yNeZ2ZB9;b-fyLLMa>#yQ{C&z(y>r}BM<7V z8A@BYzVPZ`xX0DgN8*l-o;)2BxjFq+^47&#mrwdw{eS5`s(v!SY8fK3Z035dV|n1~ z%*pQP#Y0+u%tf676~=@t^JGl(7KcUe#SqY-Y@B`bWT*@qbuI|yI>2~v0LVa9Dz~IF zrFl!o{sO3TUsdz@M1!(LA-D{*TbrP*+%>%LPV?OE3y}0cGq}v3)_UjyT!w)D4$47F zAsNSVGfB=!;A~~SW2Dkutxti9Ny`&&)0C5HAoRsj|38-X@c~NrA$_~A=r*DHb{{Q+ zs>RmeAv*P(!D^rxHnP`p`+ndhe0A{{uxMD7`6+Pc0#NVYL1?`Q`(s(_a1C-P;P0-` zJ%RG~|hfrjQ&Y&<$fCkP8joKpOJ-;$4UV_MBT+%W>ckWF>RC@MZG>;8v~y77h3n z>M}l79>_+)W6}5n^N7KKWk^l@GDLx~3{jLFNXGS`NRW!`>_|O0`gZg29+7$}PzVuj z2XC^L*&;t;BN1=l4;OEhF^Tr7|BP7;uPg3Gm>PQq8ZhjF|D}ZE-c<6iir@&C0k)v* z0_->4M)~>PU0XveQs&p;*SjKGtpHogPl124;z%Qy2xQa39Yqve#?B37APulSwFACK zb>U$S zAKm-jqGR@eRhUY2!B@3p#^cP~?FEcD_+45ULk-};^%g1(7)B`+y7%^+Tl101PcpO@ z=8vso+n^FZI#8*s*WIPf0WO@iJ-OSn!D(I17~@;PM&vH6L5!?V$x_*0;c>oe3}#gv6S zrTIk{=W7hQHwUThqYm#wF4KQ1nqksm2xM}=r@%qRe~O!&*A=%>9U9GmLMZfVOlIBa zM&^l9H5=bj3ShL^0Bf}Q1mBM*0N zWgHE-qxg_vkUoxxMSnzqn5M?(-2cL(81piAK5eEl@Q2>7Q~>Fb51}8augzvwWFUs< z7U25I?3UxXWdQ|{+yw*1WoI;VFF;_V;RMxmMyzoSEXIuP4b94P{DCUStaS4S>O16^ zifaDn_vuUn#|iC#JaXPUfNA3mPqNo935y3e1jt*^y)TE=cWglJmjD-3oYNtkjMxFq z^VU(_j9(6I(8r9dQvt@-Y(j0DhU35Q`g4}Y` zYxK-yl(lnB#X)>-|2J*j*?E?O4E0b@;%b!^_Gio2yvC3j2pOWJDC|tu3bxhp z2;`<_{OP$rVjGCF`3JN5symQ5%69ZhzH%Jp}TsI|bZm z=N%6-Kj3``jt1_Ryi!wPJqfyybJkspRR+PA%dsw`Zwb2NYRH0_-`9JntjekYY-H#A zK(-M&ENj-5AOn^S%LnAh(q@^mhTEs3_rihzdsYZK8K%VYb@P(lYp?c`=?Q4T8Mz8W zpY5)x7C3)Vm(3(Y8A>}?2BC**Kl|*KdD1cZq$NqmqFH}6v(Wokds(-1VCH9$Kba74 z8j#4^X7v?zOXpEmJ_OA2WF^JQ=cTY7mk+xjSXkLrAS(`tZn@)H031@2wFR~n1ak}r z?PLY#Yy~|D`hg$-)vQWZ6-!}=546HFU|(UJQu+30J!zRgnc?tuRv_z+$vzfpA)FOy z#Wzc3HP|uwtXQwq_OTA?53pu7-3;8vy03SE1y+2g`g$P)Ho>~c+AM#Cl>ze(9A#y& z)PV@tUN$NH^B48OtuS*wunY8)NrRt(|FEEh(k}m;90nf9%DT@w!&))F zxA2rTqz!RcR|`Q9vTieC+`cp0P*{D9^9bY$^&d?P^yTsS$jS9G3&8#IkQ{Z2f%Th#a4;g3I!7J|*myJYoWUw!iwZ4Cb1D+@Xc6rc0yK-+9cfj z90!IwT^pXR9uo;xxSv1d8Ok0={<8n8N1xWn!e{RhPcHj|;kzyG*qdzAY)PF&H3%c!zi{$Kh_VQ}gc1 zo^nCvc#KF$7| zm4e;ktiiD~g9nBw?82rPY#^SS<16gr*4RyK9cvArx%vb3z3dp7wxAhd&k+VT!D)p! zNF&mnp#$88e`gc&Pic)Q8yWGB@8m#gJK*Em0qi=uslsp`oHL5etG$r(*74cm4K^Bi zDw~i!6D?Ag+r(KcF>>R8f`aiTCl%~px?ZnJETb~hIV0>b_?T=2w)9RP+Ezd&VFw#T(WzaSiuuQ9=yW&!(Sg&g0OPpmh{AE*)|F06$yf6$GT%mR(=xpQTp6&nZDLiOZg$M(f5}V2NL_< z(!p{bz_1JE3v`!lNw%Dyf$Owxb}K;DTjIo`eLyZou@Ro!xN|x_77Oc!W6B1A8&@(OpO@!*X%3D>>IVKdsq@#d+>nSFWy)Jnc#5?Pf=Aw2oh(6UAEj zgTEy+f^j5Phx-ZUiww#Z7hP8!m9-1Qb!#~YPb)K1xUL!os&#MxQN5yNk%NaIqtxu0 z7_K*0lQISPbCWrfOno3BtFA4bTbcJk=GqewJjjl*8{@1_1=N=_dKups+qh=nZij!) zq;Z$A&P6*FE^%wpyEunfn8YPnOh9c%4EJBoPj7j)Z(eBPdvrqumFdCFH4f*-fsS$S zw1hjTAgRm-F0Z0pmIC6gaWArsIL$?J3J*E{kzH8_fk^!afhXBsleXysYjqn;;!*1N ztk0DdIqS+>S|APrWp`yXpqUuykg+2zx+Ft1DO)k7_%cNSw}iEu+6 z)YF6q@c#3(!5(b-$x(FyteytVGK2jly>xY+V!1k!vSO@Px}LL5SyxtFVF7lrZKiGB zWq!Da<80Z_-2voP&soI`kxP%}eTT``Gn{^XM`UkB0VK3#JL9E)tW|kwV%ZByZt`N= z%DGQgDI659D{BR=)aqHLLhYFu-n4Q2Hg}a<&0R+5@w5V>wB9Yg4>07NoY8>eI|kSS z&1t(=9)6tvJomV*V2{?=WA{|1_z$Pel!tM?q%~?mcs=Z6%qHv$s|L>n$bX)*nfjF- zjQi2KWd`Y74?Cm%yl-aU)-T|*&SuJ;9lOKVxl(R6+mu^l4+XaY4zZ`;C0vVzVx3oN z4O>NF75<&UCAHEm)o4wsy4@)<(Q3c*8aGN4YK9EUi>HMWyiSD^%Ps2l()G+cwh}15YdV0WZd9| zar=0&+&{1#-MyFJqh)oe`35KQApGkUcALii^=lpC0R9413Qi&Vt_nxJa$ z7Iz%sDqq#o<=6Y`^T+%rp&_*oIlEccRA2L4nOT!R%Hw?Aa$fS@aiWs;vwm15Rm|#9eII?R-k8#>K zC*dZl*Mgn48yLBIJX`$9ZsRm+xpVMaARP6n6oZ3oZ79THPCK?N4m_vbR-l_`5u8v< zuMOq*qu+3rxdIM}^MJjHYo-8(+his|wk0hUPq6Ot4wiA}Wz>O&33ae;pX1!+IC8)7CS}olGd!CztMr?JXLw_rb6hm_ zAUGCn4QdNe0Jrc3=_q)=UKd9n$T;(gJt&jw4R$2Hd+tl92}sMCRiy!E=dP=nsT-tI z`4rv{9-`TnKf#`2yJdUud|HB9@*ph7hPGqC1nW2VHn)l&lTu$Xi{>y+Fx*l*;Jd>a z{66kAj+OZb&TTG~#k-u}7UmF-bWr|+cw;@{+0^zAeYW(r%IC^;iX(SaITqmXvT{l6 zqD&~QoIz_rIXvYP`8NY;Xd#@Hp2oQu_?oLwTa~@k{8&`3a@hGtC5tTk~VT@_b|? zsGFbHLeab(P6sne zbHdZdA35rn3|#%80w3FLYN{LHSut^MOSLvQCc;B{V)61Vjp{9B=0QNKqdRsmK17aU zj)YX4Y}In|JiF60*60*#A0_n1WizAHiG0(a3nb2Ia4>tBtHSZ&{^d{eMdn94>Y-+!8NRz|{@!~3 zXNR-RV|A~nj3ohbkK&fO_JY;X#0XqWQO_v9hbOR(;r`;sU;Sa`KdHD!)W!683?z;m zHY^NvHx&y$0#ZS%njzasG117$)5^`Fp8(nI*b_OgoydkLzEUgFR8f-mdBmT?X)$=7($H-dP4=#iJZS>{vH6JRYG0cM8G9SUR zOzPDLkjh6L9hI-?xe80dCi(JgE5S4DA*78!Q$W>2k(n57r(-(`F2fj03XX8~Brnoy z``E4mb@m*;l9$TCYjKt3ceV1lJh&R4R{~32_=o=&Ud2T;ALZ>T6Hf%>OveWEQ&^tH z14cJm$p;*{t$>YEiC`-eQPhf^#aO0OfHg!U@U}D+c9(S_$$Y*WeGuuW z9FS|^5hkn_76jtA>=mvXRyw$F+W-^L6*aDhUWL2qVGlA(nW`1=F)l*L;-3>H3Qs~` zKt9_I3w7CA$K7FfbVr4*#wb3WZ@0h)Ap8Qs=TaEFGv}1nc(xRQ<&+2|Sx0q=!ehK5 z9#yzC!ZMFlD${q$w>vQAiv(G@alF(b<4O%q3r~KGx#+;pWSS!6*xOy)gvVeg!3&5H z9NjM!z7@cCO$vi7Q3p2k-lL_68N>es8O>C^6Zv+9XN4~X!477iPo8qI&xCS(L7*uo zQ+S_?DcqO2RdC7QhqqN=p0vzO;_VgW)Z_@0+Yi7D(rKk`aq2yYvsxupI|E#paD-@s z|A&bb+4Il>Es>@1R~RV#C$yIifDI49Ygd(mxHRBN|Rp$iLyYc)guKev?&jR_BfXg-Mef=!Q8Uj^C; zRQabc6NpLY5#Gn%hU26BasDCUl2NumSvU&h3CzX|1TUdQf^UMe`Je4VEV7kSgzF9H zpy#Ib{yACKI1b)Zy_LfAxn7(pejw67u#Lyj+0CM{XOvQ4{|m|Co)@a}!j-Sd@;u}$ zutq#~2|iZd;$GlK2tF8^i&j{s#_v_pqApH}Xi8YakCgS`XSGYb@$9Mmn>>i%Q_?=+ zr`)OZ_q+}6JkJOl%!9+tcsvgyL4~MV>qd)D{#3dS|Bg_M?JIhWFcnpD!yPh&jJBi7 zzlBJaY$gjVQScXfFiI3m7&;C@PTH~%!%8!9ucuS$e{*T=wQpqx2$i|cLewKK8f!0b3`vt_w~M1 z&dXL_rvL+C+2vK0C#?S9H!KVF0=?@hzhmg9Zio;_y66qxK(OOtKfjvaYO=vQD9~df zGhb@o5lv#hvqCK1I%d1*vApBs^82k`YA@1*gm1h-OJ2gMN^hZ;;*~|j`A;H_sMREC z8+hMS)1Q1E`ZxbzvYi1S+(>d1N^(OIw=V@4g&2>@ZOK0c?@ssE!CJ~x@+)OR1$V2=d{sHX z(@sl{OC3x8+;7~M){zQkYU0wXs}6eV0>UJyl!YS7D=HUKStCIX9{#og?u0?a`zaoQP-*i3wz>m_y- zA2$8P?{B4o9uP{PD7xm|t3%WQ; zESa@k^qlh=<1Ki?-zSa|yNK5`Gl2D_N(W!DBrcnMg+H$tx4H;Re4Hh2&gi4~Pbnd}MmxDmQ}a*YQb&6~`AnpdJ@*AZU)i1$nT) zvRZ%J8_M+3cfrbU{{s2s+bQT2BhG`W_ke<&{l%=MtNa%zZ-wogeT`J{AHnKUmN;8P zw7R`S$iKyF2X+$>t?uPc=@+rf+#JDv5h^bYYbUT5Kg!4FKu|wW|CP>*{Q*8?1fdOG zh9qHcH>6rV7Hexg;dt;Li@~mk#ru|;1t-L2fD|GXXD-Evx557~JBBQc<3KMcyTwXN z9q?!3dGWm*lZtwQ6C!tCJ9kj^?2?1vYdSfL!!Up{N=L*!}c$FON`Qxc$v15&oI|~$T!_qEV1GozdQwjD8n|XB*A?*G+|!Hq3sX{Qg{y8KmXA`gPwo!q~KcBJ=aMO8@B@)2*tydft>wJjCX3hSW>s8 zn_ULoV`D4u6st;9I4@B61A_r|4kJyM#nCWf)z;lbjH?n%b7B@!My#nO@c zLSnA@N-~bvkjQV(-cqCXd@q#lMka|l-b0d4OI?BwwJH(~@n&kS=mW$|hMD<5vVov9 ztV`CpF$}5YP+BL8EFmiRABP+n-5@{Fbl+^e9{)*?QHp!iU4W^CK z6SI~*9nw!CJ88xdB-{wTA#psjx!dB1w)D3|kE4@45IB`QL7bPZVOpf$IUIS*y*SSgGULSkeagpQUxIj%fnua14-g79( zJ%+({3}FA2j-Z1D>zc+$3G0&7oR=Vgd7;E}6c@mncOBj;WlHm8HA&_Fkpi6bK40E# zZnjubQ7d+eDiEI#d=xt(rJQkPNqk-<1vn~|N9sv8iSe?YlQw07cS^Oyg;FEIpfs=G zcHDQix6I;qL;Ot~*YXk`FVbd?RzKw2hTG@X`z#T^vE!t`rMIRJq#vZKR>u4eDRtLj zs~w_mHXAIHy+3Q8mmC+9l!~O`(sBNpbRFIqET1KYywt8)y16K{v3XYPhLX90KRomg?{*%C&9%dX3eUQ)ywi;I(Q zdbbr7A+7`_WOCUyE=^AN_3rWih%1+NEqU@F=43F>h&TVirf3sb*I% z`JuVFsRCZ#mLd@-^#}C_wM*`alAYhO-7{*%T|t`Cm$}898`662ynWyDpkzYAY zzhy_&&R)!xYO)`}&RjB;E}wK3=t%6u`O9Z(9`j0fVg%ZfE8<-;r-WhF{pEVm+OltD z@p9X;zhKWYaw!!ikQ{=Y7R)i&hz{)aAcPuN`zr1j?0S$S>xe1d>tBumwqE2NyfbJY zXk;`Hu_8@@>vO#oqNSPqtff-OmnAVgJF8KaGUBPjtzit41=SDoRx6uPEkU<<4dHu{ zq5Kxf=h~3vDWd|&m>DJ!y}~YiTV5OcC&`um1=Uf!zKBA4l$-eNklYFUwoGGd?z$6r zrtK{f6Z_V&nz`8Kkkc&9<*5fvY%yVOVn=08mT4?&tbAKu+y?V9<{(y@MOMKWK$kg; zJy5eha;mhGtC#uN?r2a8s-(aGYrW#-xNYS|;4Bma%p-Zq7@HL96v?>oWo$%x|E3O~ zuB4=u1JWMJcdrop9Z0S8vn{(Sk2Fl%{a8K?T*dLs?#AJ@3LySz+gJ8Ps94*JG&oLC z^PCY+iC>^|Gj{>G&%NJ@&WKn+uY6s8CR;Ne;C@#AE{R`g2ye!CI(oapEfv}A4NsKb z4bf}2pC|gnfEF1GVtuLAvN>ZUr_RAeMu&P;JhHqd>f@g5RATdi{m?7Oxq#NZlxJSJ-q2DdbcjGl7$Q-^1)9J>5h z)X9fL5P%3%t&-&SNPo4Ag8&>m$#w~NEsdDlt|H79*3vwu*&9J%e!9;~_+Q?Tr}3)Y zO7249l3Mu;M^YcFXnEUxdM{r)LKZb)tHs-d&a%7YaV+s6F! zib}=-fAfMM?6{0cpc4hE_F2W(eO)Z)JGE`gwlC0bvR92oZ$BRf6*Iax39OU+4PJbC zqbPLA0XpL8$d4K>;~(Naga!ovlK4mqmUJ(F3cN1;9}g@@m&yymggh=txB@w)6$i2s zqXb*S(^ocOPe6g7K?FD`v)CN|Q#8Ihu$o^GrFC(&QFdHe?eMC&;$EvMiA3=(7Cwo`x^3C98A*?*UQPk`{{J zEq4nZfIlt6oG$_QpUV&%2n&B?9!&tJgN?cya*1GXe;M*D1cp&pBb-K74uPWB+w?!hsF!C z{q}U2uif%6TRYeI!_nIHtVi@2VkeKGyw)R%W^Z20k{a`O1qhYD#rx~emG)x(3wvO! z!CYIkGEb%_|COO-nwQoZ0GrHz}B^R_T#o)YqbekDwzse;;C_41Ke<+W%*h<&t<*|>2|?=pjD;93Y> zp~egTScce_N~Yn_*%@nhIC-o0IKjUCYd^8Lj)saR>CL4*YtODI^_Z+7mN9D`9xiK3 zoR{g9OobJ>rDU(aS^rzLTZ5{Z6y|xZ`y3UZM9(We!{}=^&bA642R0aWkhY39-Y#nw z%1`kw!;M`f67kx80nJl)mA7WQCRmF>w!-%=suYYSACoAHN|9Ni6~O(q)$l886I)!? zqB0AiQkOV~1>R0&1n=vWb;I3jM^}B!Q3gEJzO|go6I*mwqcUHm-(7pX3SBK0-{jPb z4hg?$*guqdy!lFsbzCb{@Pc@)8$Z^{RGRw&&bp#o0jf&o6dMd-hS;-wtqr&7EY3ual|AKSt-3<_L&!0gO|yC8oXky=>HhH?s%x* zIF9bP<1VAjY-L6$*=4mTG!=?M%3fKOQOPJ#DQRmdLR(qK?d|rS4u?Aq&0q6(f82e) z_uT9GeqZMO}`2PtDC+oBZFQjkGlY4dc_)O?^L|V^Oi>4*eR`52`OdGVcV{^VE6T-<37A zSXwON2i55PF`Ai&{hdA0%g8ARCL*00I3h(KL@LlrR14}T>d#0HB{I}Q;~Vws-KIb* z>PrZ+;f~kc_tsGSF2}GRRDIoAT2C2CeeXc3I6tiH2_!E+o(3E+a`UIQJiO)-;&iYm z0UStmLezV7>PNQRt5KL5^g$@{#sv3vT6$f?JzeNkswCnV^||$7stTG+1vKt#Uq*gG z3nXi#_EM*4yGU&(Qj9;McDdZ~GNWENeN}x=5v>Dtyz~nQTV7NUm>b(z?RJO;_WBAl zrQtT~btILgua6%)oQ&2z>$#PBBC!xq4q9F+9*d^-QV&o+Q*9tf5*igx6H@=w1{$j+ zRkS_SF8C5qpWZ?BSk(^NQvVo4wNRO!$k{_JQ19`ZXa=PF_8+OKXrG)^Dw`I0C!6{X zQEyuTa?61guG7he>@TpRYx|36kXq8|&V~zhNr05RKfAVlu%$YAT%r0Qq5$`NLpmn5 z{8zC>zu&MtdDT8=BYBL#05cTSdvurgjoSu}_%2ib6-@`bFM6cQ5x$K_qshfsr4|gD59HalS_;N(& zQ1AXzhGxf$R&$Izhts!6ZLkUTE8|zowEWC3uXMsE(}NGXJ#DjGb+vcRSlqVW<(8BL zmYJW%ejUl{B12is65yEaTDQxcurT5zm94o0^QwcrnLHG1tra3 zSH!4qAb8X_lzP83zwG-kfx4-@c!mE+F->vCVB|Kmow`^cAoYRQ(;dc&Xje#P)B+j` z`ITNuy6IFK(^F=s|V9BMH8mVTS%kzu6GyWeLp(e5KPX z*4t?9Z;VaVyBp5KCfKw)#^Q(St*iPif73bHg>htKA%qKJ4L_xI(n#oZl&Q%VG_h{xAp2^Vx@y zL)|{;QiaHPjEFi-3$9zJUWqWI8hY=-Tq2&K#Ttx|9tMn+Ye#t3CMA6IJ90}7T^pZ5 zi_Z0kHwJhzyoyk?EsSQ&S9(1Th~Jz@E_*h}2)7^XUYP_SgLq`Y4UW=mFRR z21`B;DZ_fByJF}DOm%&dhTX|Jmp9(iKW5&f8SG$|M?2ADFAKYAO4>=b7_~TaRhbON zt~r8Bof!Goa#M4^q2cRlvlCjGwh22uLrQNblc%>#S%zOP?*tnrSzTR*+VOhT&y!E| z3*zSAd{{|`9|$`RYP8q1@%H+0eb6Lo^)YoWZItoPIxTu&b3=><6Z#}aBROktO3(0c z!-MX{SEVMrz?-qH_(daqCO*6%xOH3c(C8LLkQH<4q6ecGhNr?JypE=WeVNBJWwoQ& zz&cvTMurpfP+bnhKWsHUC;OA*7tpaGB!f=1)D^b81aa$OStyH2c4*bu9Cm-c24}QQAR?oBQ*b-Wo&11M69a zt8lT517XYVbbg%A-$0ibr&0fsFvfn`xZ|~}{14sH zCXtyAo`5AWj~3R4W-|Zf={~A$(2Rx%R68jc-P8 zbx&!}t=nHG`5=q<+f9Y*=zY>YX6LTSLuXsZ5Ebex2|tP>7~yG}*}1stT=0v)5}?G& z&668(fa>PC25rT1w6SNkI;9{^Y1iJP-$zh3_zf`a(}FczVE2JZAU7DAD5K+u(K>7d z#=6>g|gQq9m7kw=aWf} zrhOtDW08=PhAd)sHjaG4>(*_jyxiK;=o*u)=qD{ls7JuRpnfC~qIMMBA3({iJqF%J z`a(%1{3T2wePE;H^-~Ni_jZUl_#9oJO?TaNQVK?}p zS$(^sSv$#}Omi<}xZkBb*@ZuyY_p8mOSx81gAVC}TFo#wZqarb>x(S;H~ zYn=$uiT~*TBiOnSfP0JMAxojhQ81=i^=chzXkgw>=<@fM(eKARki{5F;(}v!<5znh ztX}P9?B>21=mp3{NU@ret5@BVYX-Qqju8$R8*ZpN6OTw<8_fiCteSo;L*skgHqW<)}eTN zlm_}E$|9k_5x>h7{ju)?`^hc@TO(yKDA)N#wwg=y)RzO17=PjVlHl(X3p)r~K)t*oBzaf~6col=gnvnj!)+1X+>I0EeOff&}% zz%O>Zp)&O(Kae3ntU1>2%m^FNdETfa{A4l6 zKj}WKmy`=RhC4lS-~PmgAJ~&93VM|%yzC0&T1Z;FA(=#FQ2L#Y<_;FhBH+Ns;h)hA zN)4);^zy6-&_)T%)=1FNv@LqYxyi8y>=>yh8&l=1od5tslkl3*#V#XpLEW^zlCMZ< zNFLZ96|Uy0IH7zJNSxq0<2*6MFt?5H8BOP0rfTa`h9`|6j3w4~Y$jtz#>FuXjEm4h z{2|qh_18^uo=|Ty&Os_@Z>c>NcqdczfB|9|Eghw=!cr9%UV863~u}OU&zuk2$UkCRGdP zaxaj1p-FOGKa4XzrQlAWnWPj zpa>whdc-i{ZrS{Y(`4f9rNK=;cg+|9x&>EK1DVDscyMa&8;&(M_`N+hHQS1dXST6; zoCm~*bqI#H*Itk1k^SDl9Te%ZdxhWWb zn0cOYB_fcK#{5Iy;HOVsh1<;ibYd$dh&zC2FaF6Lh{8&`l+>YA>qKlZ?&q?P9eWQr zbG{vT=#^iss-m!l!S=7`lYBS;EQOgK^NIX7#i0DcX|6k$ZFPI`n#HE8zfF+P^k3$xjaf-g;@T zkq8hHy8_;F61Mg2KEh?OnxW^oW>w~OYj`|;XI?YLmDh3bA17_#H-0b8{}_7bq1C%_ zw_XA@wYk?wO@WT;UqmJ5dW5*2<1|Cx0ZO>nGkz4dGEdz<9+(NBT6B+<#nYDmF}{RL z1W?|;q(=Z>QY;I?5Ob*QDc=u#<=#u$ZIkHggOh|#bC<0i;Gj4zyce9vCX)?)*~`L) zdpcmR*f`2}iW;LLn-Iq+KiC5DU%dG#P1fjG9~(Ue)U8%$LavWWQM2Ss&T$$PuhW0t znNEfg;vKbgNEFW77wk2pTeVl%VWcy-b3i;cOTDtb<>--mOF5KZ4_A`D5s%f&woD}i zzlVhSk_aq!wDxFiZX@qE@j1TW-V!->eX4I<%$scAO9pCJ%;p!&yfTs{?BcK$G&=XG zdWJ2axuNY{?uQzUeN}It%(B(TX9a}iY$~gm@{+xh_8pRi3|Z|E=3Y|=`pZhUGz5<$ z8$$+3ffSzc4D<HQ@FkdXooj;9l9!itsWlPvM-1nd43OFFSwXbZeOz z+}92V{s(<>&Q9k)UTB&H%{=D)y`Q|7bW_Z+LZbp3+#EG}Yy#;WcG62S(qj^%=Zt{o#Gl z{pWO;FVYUu=tJb|HKG5pzVRr`>^z-nhPPhf7i4UBqFr`g9U_|_4w&c7l1lPA)Cxaw z-f{9Pu8{YFo9~~)f5VkfBkIJQG43hsVaOC6OFhT^#MQ!|bAEJ_2no;Y^2)khm5 zLHZ8f$WP7iU)^84Y;_{$9)IW9GpFW|y;%%8k$Z>H!F6$X!QH_B$GXQa_uosJO3fS9-V}mH{8KbeO6}+t1f6*4|>F|>MB80#b!ZXUZ zz|uzIw6nQ19ts)HH)7A-zU1iP_<}#r8RBH;#p`|LnFwO|)fJljwdh~Gra&C{i>@4M zrrVSIvvZdCQ$5CVu|0VYxaRO*!v>ViTTS?}lqj^ zgRBTvuxGH5i`=B0S)6+Q)bG3Dd3Kq;`nfr1&^6{O>T#|EDp30#iG@lrwzl5D-Gl0= za1y9%_jS`kFEm8kYz;DU**O-6K@gMRvLVr1z0e<^Qkn-KiEnLvl<&y8;P;o;=W(15 z=RF3$0r5jJ`5hHI1PcD%YULL2+U6Am&Vl$&K`#Fv6baZZI1Sh?X!MKbpW!EKR`9F0 zR`FYN&hgXZUvq2tCW6C)x5OB`zPg+IVzXO(Hs`D$O>l|-jyntLqb^V%@H;@|n>(oQ zq1xo-4?6fbPK1EP9}#RsoDj@@9Hlgkt-<)xn1a|NH z1}5NdsrSZv@DH;u@ygk^SothlbSvco=NK~o?LF3e(kH?@Uhp8vbU({(#Xa77>q8oe z5R+FtO#FGbsIR7M>;Ck)j?Loq~n^v{hWdY6Zl4@wM+mB9aB*=NIl8V4l9wM!xnYuk7ctu9TD*^)JI-p^%|x)!O*upIxSqnkzsBcUP$&(VZVH1foxp{_}Lq?Bh~HE0=Ne8-?cZT%mxx z6Q3?zZuy@e2mXxaYZoAV4%#B@Q|nkohHJT;b7e~8 zE)pEaq4RRjs~K?a8s!+EnNisiuE4{cy9Lr9ye|NnF%j4Wt+5hwz?DG5;cHYmkF5 z8XL&(d2ys13*Me0xc?EYqaCPCVZ{-*Yal}a91rA9#xjwEfC}OZr!%YsL@Jr!MCyZlxPhGYQgF)KCMs5 ze#5?zfwK=;?SbSXuSDD=Y;SCV(}i^W;;GQa)51!3c=0@oi^wm$m9ejN#Wpr^3YjQ! z+b}5vXYY0N4L!yBhb{m+5K4KwL>E}&d>4mB@53UXK2xoLYjJeU^dM>I-!S<#>?x@E zxKfbIYEyG`2AQMOH8vlJhtc`r9>_RRZ9`m%hkm@Mm~;mhlsCsr$zKDwViK0(LEhkR z^X5LSglESQgH96YZCHFfxPo~3c5g<7=&c&>S9}b^78Xn2K45OWbV2ly=##7E;X5B=Za54$|8nD4A64XOxozMbQfY>twiFXto#MydS3WPW-vDCK7WQB{57-+UmtW>kJT*TVAn$z$3 zP_c`{c8y3;q8&113D?0?BYw>*oCgqW5*DvSN7OB{@FANLE)hkDO4t*>zuB{F8^kxz z^Ne+@v#|W|{cP??7dH5P^T^b4{@w3ju}~3_Bb1D!v>QKY4uSG>Suk@nurALBw}!nO zm#Cer`8?x1OjmF-BUYpZFA~RQY`oYXaZ7wjyn^u;aYOuuV@Q8SsudBK8yI^{D#XxU zmB7qnC3MNT5nLzs5z7*^#m&$^B8Nu9s(+*BU`Eba&^4jM8~LkeNxNRQv-kGy5;urN z2sK%Nu!BlQ9qjmr+MK#usKaP=@)B|AFOL5vmo0xJ_DtdtB_BM=zIHJ22LHx^*Ssg> ztK_J{-p7TLG$lp(lgwYZu@xDw z=p<+@81NL&yi;Nf#a4}b$9*IF`1*x1x zc7kL?%|vSyUJ-s6`+nK6FR?dD63O$Fgki!2rEa+Z$w_aC87`oU6V7u0E!^1Kx>%x#Pq);_uqS0WhT_I;FG$k4{r;vV6_O9+LwxO=vw(cR z$AE63K6aDfx&&Tb8|Zz=I(4Vz!J?Lx?+wBOBZuXKX1sfQ)b-=R>rVdEJiZgx+ihi? z8sy-stpU5EX)3;gJHm4jC-a1$wPH8xPxR34owo5=W`J)7+Pq7{zdRSLHfK)=&!=9~ ze9=O+Rdq%V3lAKlo5K%@SBc*P%vk2)=&`Mg7!CEf!fZ%U2_m3%kUcDsm_jlnno$eG zVelZ>mdzXChQzdYrzwdABJoC%4MYb%TDlFDg?>h0Kw?pM-#-q=MNCNoXl9}xcpqAN z>a?VDY-`PD$sBew_@^W+tp#&}t0Ua_>HupGV5PWJ=WYy-8OZzYQgL?|ZMm4e$xyV8 zb({55a0o|Z|6;YW25~D{G5Ly!CuA>7h@`f9KilN8t2o#}&trM$!4Z-=^?P2B1PEbx zpw32^uzuo0BT#<5f%3~BO*G`-`b(igkWtw(j4c6Z<}HO&y`KI>f1q9?Me@6`^K?g- z&P$ezR5fxBWv}G=O7gkq1P742!!~dqXL?BIO$UUmqC*k@d!TKTv5DJyTk``xL@wY} z2`}J_&7t89n%fc6+N+;!YA2UH4j-YKZi|IG_io+zm!cpwrtkyLL0M?93(yfdM z&d1QTtJYvVCF2}J3X3zJZ;#>-1mvOmwX1FxW~sfb644SOKr)Ugp!$j?xo)+^R0HX! z6R!ba3B2h{!ICW50B#_$&6^z?GEw=a3f#U6ZbX{Uv^$XrV1{3s&3{}yMm zqA>5Jv+yQBGiQ) zzJzT&m6U)Qd8P@S1kUB(6L}zyh!3WBG7pC3g%+EcP!gCalyI&tSE0tHoB4H&>=#n<6O%7IZjc@Mz3gnI|h@#hHuQh$=zsY!RD^-X1EX>$2W$qVEb_6 zSVPh)Bn_TadYZc^#f!m=Dw4#wWL)!U4T&b0N1Poeq09gn-Z8d%^w@6^)SzyUZ`o8k z-s=Z&svkEeTpB-!ea*Nj3gbTJCQ|pfMbtgz>WpvB&tWXbt?yZh2xLe;Eaw7QS(MUo z72Txd92dc93Je)f9RHq}(-{s4WSj$@M753;CvGl?u{Vn_&bg4kpuOFNNef|ZJfp2v z;7@&c;!hhlCzhE@MT#KWxM=(c_$)<-I58d?{*u1OztB}SuF{_zpC8vseZWh%RF0qH z6^VWX_=I`{wS}w%w1ll*1(G&}=}Ld)Ztz+zU32Opqytc!8_)BWCg6LpDCtMZ!Nc7t z+fhCKsjPK64~3$E1*?A3K;e7I-ZHMYp^UD3os@y@4i+Jpx@Iyh=@wp;nWgM7Z@bVz z^D6Fkn5&v0>@Gflbc60HS}hG08*O%$ddSwv?A3Kuyk&7xC)R3NGdfpKKz5etVNPbf z7d}JmWSF{K>lGn#!Dg~#c6D^H%tswptdczk0nI#E?EGDLl-U@f9ayHB6nh#{BG*kndQ388(yANwnozJF?FtO4_A+qRnI< zu_uUyv}cHC1SCH#_s8z9x(;eJ#W&Hmq_Z;LP&v9M^q>D>>OCeT?5Je4I*757>>}GP z+YGJ)*$e3~m7qkn`QZ(rt=LyPnPh~iAaw;4$pqw&YULN~_Kp#Z;8FD%j7 z$`u&})GVtKEOCy8#)X~*i^K=&cS!aTZ>xJ85F97-ct(O?L9qBs_(g$T=F|smlP1|p zWR>6ntRwo#sjL33wiDf^D&6o~Z zoDA&NXL1T%h5CvX;r?Y;sw*y;m`#Pj7+h?LZC3RGb_=DiIr&ySUE(6`v8(Ftgw1T+F`IDoa^cz_LS`1$~ zW;0@$b zGjaLcGc{W5X{SW*)hK%UW*=wmYO4U(1oT%m4p%n;JCOvlgoQ))iQ2iKu?rKmQY&c% zB77Vx{1r6Lni*TA107lS`t$h@E8#1gG}4`w>$c`J037tUBPvDfWLiTgnzM8-$#_DG z6$KyyS-i4_v!2iVH+AnqZ1DR}8ctfKd*RYq&rKQ)93D0v@blO!LvVoFA ze!G8``DsV1dn!G&VWPK2H5+ntS#LwS0{)X;=E$Ab#sb|lC$b@RAvp8)yu690Aq5j7 zVEBrpAv<>IMEQiN$(e~z+3Vhu_Cdt^A!&@Ni7|K?kf=}8f;2$HK>%tK6B(LCMnUc1(>k(E2V8VN{UeZ-?Ul$+vUXviC=448V zAzIDIMQ%KE>P#CaHe(;NjJ#2h%<~f%a03MAfE307q_@Maq7CTS(M3=+c?JH_-~!ujJ`$*=xW5kQ8^<~ zXO4LkFa}X)r7rmbc4FOT^a|;piHgvplTqBG>i8%CXE<&&ah3m$5i!{n;3bI@s^wa& zzn2XNc^|=O3kq2{`2$-e3^aui%)|O+ zBT{E+DQ;i_>O$(j9kx$Bn^{lli<~9(lU0+3Y@@sf(k)rXG506adxSQ@!;dG=g7&}L z$a^wbmfVcQ);UTpLIv6o;aNZpn;Vuz{liz`) zb8P1g_q-W6lTvnvqDHVdaXT1wFV_DV`NYhDBZT(gWKA`C344%p)-!>SA@1igr9;y5 zlL=f3GgmL+l%0+O6CkS=)X+SU|Dd_D!#FN?xS^W%oh209Wsu9?hiI?0=Qg5T3-(J9 z((BrTllizPsN4$A!|j?XS^%NIF&;jXO(seFjMrI+Vq`XZ3v$pq*Z~c>FW$1*f{nd? zePC|OZYhNQWc;rLx6c?rjF)wFmUDa!xx~l7KIFd!Y%0soQh&>7557JOVNJs zSDZ#X2hC1qCprlVWX%{~cI2d=_G_!(pw9v$sgAg|4lK5VU37n=*QSn^ywz*XDhb25 zbLy+^BT5n2cXX==LyiMjR1OobOqF12q`RkLJRWkEONuF;81Jd*N zEEtb#s+WPMEVw^F471aMzk_gu2ROCl&Y>2}sBaTzX5u+OF);<5JN6&{&q(}a1Hc3( zKlzZOW>uq!!MDh3WL7AlEO6Di_TowKqd}A@EVJxw!KMVRD3OhtIsqEzXz;r0O_5qt zk4O znP^@Y9qh-yLw+9;8qrQ}i}((fO(AW^xx-RDE^*z6l+6r5MF&6eH<0&P__}P64E42< zpXi|u|A?cNQOZH8<;aM;>_S27`;}6&=hbk82Z5i{#qNbY*v|883*J`C1-- zqy?No;_%eb1fW+Xww#!ShS4{MQW1yk{s=o!583v+e?-Lib`zV5f-+ek}eipNn`dy3711a>Fh-8I2wY zO?P~pO=3p3`DIq|XuoKXnM}N% zS_12LqJj3Wtbr!T>#3FUzob?%CZbI|CK~Rc!8WqxB47M1&+I96!ZBjo>gt>a?836; zqAQYvfHtWX|`l0PvgX~rbTKTYfvbZYNZuhq}?xWk8Z84#wvOjq)y4e9*6vIB)p7O!dhT@)TQ^{qdn(`{nu@v`{3#%V^r5uZmcIPlRan zxbL|mtQ?R2ZW6q6~*fghHa%Q@_E z;hyLVZpN5U)Xs57UW~>**|wyu(;v}4mi15E!oh_}xOUJZQ)2*jybTvUEkfKI#g6%* zH%-TIE@0?V1|k+eCkmh5AzKE2f}Uj8Vom7w!c?*utO9vEA^BLpxAIR=~O50qmI=t!i-*&e6-8*M2eO7pBfjb+c9jv=H zcdU(%g3~n&A9fVJSYs-^QQjGB$#wl>nK>MD%m$y@-J>ZO3NbqhX`-`-p5ha_+?cSJ zJhP8BpI-1bhpImtyqsPkd{wm4$uhz9fXR%(p3Z7BpQsZS3J}4-Cw~3QE|)jcYr5*E zlg!$UTsY4T*_kf`K2%p6WbWLCe`86rcBta~!iGRWqeCNv9l$Op=WHfkcT5d2I<88<8?3`9kyI}2!QzxcZEF~Gd z-2d3Qn>zi+wK`rr?PwvLzGt$)raT?gsxtdCUHS5j<=2?rlpDZyJSpywaJ=r(?+2Y|2HK`1Pj{CK_mHy7=$jeK( z`Dul4-}H45nx8{XoBEBLO4K=M1$=+vWjD&m0^5xLz2ca`U2~>G(#i`a*c<&jO7NKJ zBXn=G>vWr$Wiwv*3Ollk^yR7at844F*B*sm9d?=5bT|8BxlVU-I9Z3+a_XY^f5Ca=2 zIV)wmt_fM5xaulBi5_a^giyhDBpJ-?Juuq3X8U|R_omPOe=n(xJNjBit-OJ z&N|wIwroyb7pbn9%ri@2#rtoMaWx^dy|9j?+Ag-*toT7WE-BJJ?wJ^t4&LLH@O~XSj-;o zxvEv=4|nLHQ>z9fe`jp4EuC$XUuQOD5e_eK_i47hP`vm&6GG+NDy{au;?KCw`daO? z{K=JT|2G6a=&idQk%4cTIcB@2%X_=CWKiN}shqxsm>$iM{mVoKy)aqQ%;*W;acyQ| zRD?J(i$EnBy_iwHNS$p@HlOVoe%0`>BYLKe)y7``WRyM^WsRwsu>yXzSP^mulRQ&n zQZaMh_^!!n(>Vv;s`1q*WYsM4NyoufjCdyFp!U&QIuoz99*TDTe6T<620h?$ssDFd z!O%?4;bX}wdZ!athDKe0kGxz08AmMPfH>SC536gl$`zrnve0$2k#G7f#QDSLXDBxs zL#pn`<{s?Qc9gNqcqXUawOQp^nx;kdg4%-okF#5j{G5QJG)*_P{Qx!#HBCQU7}R?p z9G&$Wo7XPVz9U0lUdT*|B+c#&o7av6P}N6p=5`q<(BU#~iaV=y*#j(?eX6%Jtm^`1 zY)JiW7zm>MPJR3VECgqaYMQ>%v)uiMa!2-~mt-oLIzDFMg|W`XScYz=_5vKk3d1WW z?uTmPG|0gyEk(Ur*M65kq;>`DWY8mABZh~4bnK*)Mlq2ttQ`?$fS=Z-K+?%q@hSGM ztWl9b4uQhqkC_k2A46PO*R;>eBQ&yzEpiA99pTDK2RSLgVXlf-qVAl+c;mND3bg-Y z<~4w&!bCw7J(l;198vEvjh-}G=jYBqFZ=s(e4y)_x$YB_Nv zpzGLU2SW=j|I`&9w^*Ajj_B_H;_`LmMPjbj4?osfQ!qCn8tIo^4Jii&y997Qtn`Iu z@rPGCavIV5AL*i72CgEU6pxtW9~?O^>gLv^P>~ z1;uoLxC-Z@SW>ttR^jZ_?f)s-fi_45-&LLG`m_AMe%ZuH&0SRg=rC9FH zkShqH1M)%QqT(d5#c%$Emp^iqIZqUTvcx#vI}Kf zgFcyFKB*EMbSdgPHEov}t#nE;$m(Lodv&w=#!Fz00&Do_2{lWV7%z95PR6bWOtlbs z*D|Q6eM-xk?TQ?(RD9?G0)7Qpzx)D&ORFUIk4L9BD8FU}owgzr6GIrga=Vr?z}=`YWT_PQ)#}%?Yx_lC74EQp(JuuVIH35gc&8j?w-OtPN2*&#Vj`W# zN0m*y98~^TktkGgD)eyXSbh$~oH(ldNORWL>ED`@A4XCh4tu8@WV*mQiO`ArPIrlW zv+gN+l#7awN_D%kV%r_1Aq%F&D<3PaR_N6Ib`P9xGO1OzE<@^1wJ1HNT99^Ir?hli zl%I0*8p{bGvH-oS9&+fp!D3m6N5i&uMfS*mZhq+m+Rh_mvaO`tj%70Z2VsgD(^|nM^ne z5+L=fZ|rfsgmaxc?|g%@Tba^6)v{l?U9k^*5czcW2H`jMQbHy8yM2sZ;rRKHYQj^c zBy>IC=GBeU-gAMHIL;}b7a^PHcHMibq|?N>Ra3}`m;5brB_i_~&+%Ss%N>`mUyL(0vM z<$>2XMP!g3gFtgXcCXf<II&L!ZB+C=ggeR|fkf}#v|`Mun-=D+@?Ijw|w zndRA!VEYx7m7Bsm~+KS zf&1w>qX>W?YU2K;yE&`m#j?t|+F1yyO5%ONr+ee<;8?_($~j+Thjs1T9DFjOayC*K z{Juhoo%o}60!^j7&8Ya0#XP92ftG!YBh~}dwYkTCvLC8l{$}S+%;jNN1p5xn+@9Rr z>_Xs%v95sGxfk0K0Ts}S#I2=~xXWsPk}94NA!FJco-(R7-W|(!%R}!?xi+^)p1iiAzXiT| zZjp9s{Ic?qA3T^Vv=|8vJx9Ey+^JrRt~T7B`ytuH+&RCG2Gzd+5sf9=e+=>I{()`# zaB_Zw0-Ct%(SlNO1&W!Uk`2hgv($h-gZXQ{dsBS+D1tMCo7*#$ZgSa-YGT>+6!Ows zy*9|fd7L$u^8_@lT4(OE%GOsqMDNemzPU5X_3Zh4EA$_COYR-(&GShNLriYo#wM+y zU9wHg)AKxH((Sa`?r~&s*FEsT7t)ZWk0+ogQXYV>=C-X?!WBFtZ59pHXYKXo2) za1MNNCzuoJ9j3;!=YaZ}%FmErAqS~mikrkD5%Dx-AeFjzE`VPt+XC4?*P;}1>>2k% zH_zd~MUIW7d2`*wcN8gn&BW39-@03G+g5Ep!@@h}&-FD(noORlpYg~9x&QFHL2lIi zfAi$5v@+#w+WoVFJTgG}xwC`Ka7;#S@`epK;SOCX@MoD9II*n%-K$vS(KSv8a*pzBBJ-%aN03 zTs7`7x2=sqw^pWm)A&!-v+FyeCq0>aL*al*QTZtLGZW^E6)1%x|D-BgF`~#)9ar@$ zO%*p~TJS6L_9}(^+?ndUyunz7x=5g!G3Bj#3ymaQIK4ePzmRje4t_ncbDDGE=NlgsIGQUd2E0KSJcrhXQ#fapEs4j@m8mwuH5)KCDb9W+`C6 zP!&e}TJ=dyVCWSMDvv7Bs>jS1s<%p#;;HJ1s$N7^znm0A1y*rbnT6hV$9LX%xBq;9 zW#If);a6RYM^35>3WlsfyZ&|szh%IcbxM(~I4o(3 zy;M0Z_$0(>U=$t;UJITJaK$TCh~pF03BZuTcOgLC(xsAy#Az7K9pmc|2}(!LWx5Rt zJWeVzHR;lpW;htn%S4J?aKU^(d4s}i-Zf~Fm#zM1y_~yD?3v@wznT-yGp7`HQOI%C zA7iJ5M3~pY@daI2fco74CYw*RDT29=uW*iuWT-+BCJX)V%L(_fuav)d>l8k;56UwO z4^_5`iiOpzSMlBBE5I4A&J9M?ZEOm5xuWo74k0e~2;rw2ItouMXs>Sy^A39~UorQM z*s@TMo|V91*9Dae1ENZTipVg_kXJ8UP+Xtnt^ywSKM-6?GEKX`hL7Xoxb8;o( z@BJdhAaNZ3jko~$ZvTXcwdP!oU+4YMMLf6kp+&|Z7yor&a0 z&adjA(jEM<@^9TOl?#Q%D~3ctrM72GX<=LLhyGpI6&eZFy|%Qa_n8YWPYQW7+0CmDQob)3 z(`Q+pV`sQDkzVSb;oWGTEOn+T+=fuisB}N*QzsTle@~W3DzSSO8%-V(A;%ATl+b)b z%h+lszBxOcJ&UQrYV=*AFETiE>zw&s48KZU_M}iQjBkgUqtt5li|D$L#=XyRr0)yW z?|M0>CqM-@+;p2cal7=4;}z1Q{4H;F#|VCxU)CL8PX4|SQTN}%e=PgSB+^gmV11FCCQR*^3jR?LWiX^g5T24@i~<4(jfq3 zNl&8v%5-w)lGdC--(A3?{5sBi$(E&T?VY5*${JeW(jQzFE@vs1kTMtLwVBonupoaV z+$8%>A*Cwrg3|^XRB{#tOZabf0Aw2*IPSgp&B&*w<&g)k`=Q}Ua#pgY|EcO+G&+N| zc7AVk{FtWcgQXYgyTWqp-itjKr&Is7KVNz$84S7$&{6yNM2hxeHFUhhn-{-8TW9+$-d#QOX*xF^6S!Uj+XM*(wC*zHT|NH%LkY5I}P#vlGsHA&M1~NFI-T_ zq02u*KZ8F1PuE+Awefu6qe)000a}vaPH^|)6bSC_g`!1Uv_;#ZEo~{qp``+aQe0|K ziUxOgcXtR90+02}sne*<y9;o|V z96%0#O(gG07-bw#y!!Wi8de$jrge7N=RoT~?to`ra*BZW^;ZqNr(egDzP`)p^V#or zLl0tSo@|?ZvYdHQS=Z%z&>tqTfA^5@P>XY4?)YH<_;wI3e7udl*B`QW5UF_kaQlGt z@bk>jwD&=CNA!r_ILW~yuL9Eb)#r;AO>^_0@9$=cXH1*S4;2o>_IVG5@b8mz@jkX$ zGyQv!2chk67ZvbVzoCnkdpV?Vrm@U8z^u^?O6lynI1Q~jctWE;BXmH%SnBw*qpxqV zS!tImp3?#Vh+nYqH)Is)zs65P$IF>R zuS!ODhjv>Q&qsbQ?O|kzzQjM{`Eh8AGW4L?^FBn2ZZvH{F{JMfcM$+b={dLUv=zD? zg0@v;ViC%^v zmJ3tZo2n0L#uqAd>Nvj=&vDHj9CS8oi?|xCbIcMDm?a^`1MhVVV(&;>>m;X{}>2uw|kE2De>AkJe?m}x<7mI z=lXWxQtse)a=~qkqL!NE3RrZT=`&%u+tHX1aty*3tub$2VH~VKQ zcbE=WRBJIWX0H#n4xGt87`5t_tDDoi-8!r4ozXmc@Zqa4+Yxh<-ckSO2I0Qp z37FCX8*%HawirpW1m6si^J_bq*O1X%G4P61yBH-yA}vi{C;Ickjp#pfp||3;ehc-9@Z<$$KXP@d?-IVRe;9DI(fkJ1L?*0~*vLHdw?&C;<1lxp zSibMjbnnya9a5&%i9_E*puZ2eEYzTFXWo9XzTwf|whsa-&o^hQJVuz0@|KQP#dj3& z9Gf$n0SCi-EQjq~P`wEShr#C{YwL!&zr2MGGTHX`Ml4!^?7;PsRz_W$a^NMq4DXTx zdqFCDc1`r%%$gj^Dn<&MbJkKGfMFudl$bc1T$9cEqxFnNA8m#59|5?BSKWpCyHKZmYt83^} z`oYDFu1q8Kj_V>IE&vL!PCdqazc7eWA%T*-t;Wz1yex)iBXc_zJ91IWXyl_awsvfc zj}@2ZWxg)rVUphEVdI_4nbF#aK;|PV8T66FH1!PDMcl_!(|>6#T%cx~z%)c8V{=oR zDE{dVeoOYyx;M>Thio9xHZ!w-n*b5 zP(6e&ZxwJ5MCv$Qk3yJj#_@y0G&l@UIw>#U)yGfiqc;MOkA4Gpp~n2~#1Eye0d363yf>`glo(lkbzoQHnoJ^RcJqbp2vN3@VO) zjE1{WcNU1(k6n6k!8$ZSeyX!Dd%t=i#SS>`$lS@M!0`M0@Lj-E%bB-` z5mWJP(_6J{4BcxHnAGuwK3we5-!;doi7WStU|d>umi^}9V7ddX%KelEjX`6-F{C0D zmUX_K1vx&346yRU`cC!&i96@F z!wKtQ%;QFGGP|AYkvWmldY{P?M7mNOR+wlI>fE@t+LgPY1C<%; zy`*?5@N6m%E05+x`;J8{yoPuJb83Ik_k-yW`!$==pmhJhm4zLAR#~Tc*@ADi!`Km| zGiW<+*R)T@8k!)WGF%|LC1e9xWZDuMBiY98K(?^+czNWWbYUFqyl-H{_nj_MXW@Qd zGqjid=xy4*8NiHWpHFsSW^xVX!}LgWpYQ1PKK3hG+M9~f%+{HqWzvBPcmm)03ch`U z?Cya^Di(eFMh|h?a?2($obqyM5aq!?FN4%PS3c=F|y+wI`+%KMtmhiWtssjbFKKd?E%?wOyO!4r+fJhK0yW-;8BYK-eSBqbPh6qtiR?iFxt(u z9O%W4*;$xT`FLC{m%Q|#<-F|~OTzwMTiy^rtjm|uqoz*;yiwY;uh+Ps+%<#4+)_431@j`Dg$poWjK(vp|s3hwW#?MLus zt5&Jr6$)^y1R~nz_5kK`(wXJ^>mi!5M>XNKk7@&jt>VCkZe@Eto*~NKN(Q5j?LQAU z=+M%(sT{DM@xJ`n_77V~~(FJ-HNVAzmFNinU<_AxlPb`$aQ;QK2 zop$y6ZN|5h*Y9siHaea1FMnxlw|rN!Lm6}8)`p|NEpr2u7C!OJO=ui-lD5t(`tHoI zD7|WC1@r3D*Zo=)@#}*42qx@TtPXpWEB5+*@9%?NDu%S29eCg3Yz&;2+-Tw??3PBy zkwv}bo5eNt!bT7Fx3~_ShSc@hs89v_-dKFDkPb^2$cb^5ZY_|&ht^t5HMY@l^|f3bDFw~1%e9Ulw9(Zbq%CH?$v z$tgik+TO3zCh%oz@1f8(YBc9s!Zy)H!gD$l&DtkHLLLp1X3#Jpp-!%7}Bs`I|+ zLHp{$L&DAz)wuxh%wYgHCeTWfkWda10#l1pyB8fQxwX$>EU?pXdtQCq-!ttrkjRTW z)v9BU%(ZaB5rU#I@w?Br$M|;I5M4l*3=5lQ8=YY*oCvKK3cd5&Pl;&!ohJv7vG(Japua9O#s(X8^AT~#xrFU$34^p!9U2L5? zccD^x6`{_KgT215d#0d-Y?k8r3q2z~_I2TQ-ai-?>lck(5r;>=xJ4kke~R;*e>%NTTMW)+0Yo)X&qIH}WzJ$%*X0MqTeo2A*`zxrWV6U#9q zBEQu1b?gVmU|jJ?cgJAm4`mWWdtR@9*(`tC>Q-ny>!{?}eQUWDhgXHivS+}4Kd|69 ze@|5l(D^&Kb}996`V{w?$5*5N=VJY`_nDXXT|D31lQW4?@u}zCzIcza=G(6)JF%Px zcJm*Xft}A9UN+rEhb_d*6%Be~&zBpp50=G-uv4~cJp$!t*-PU4tGCoA!N*=JkB5e) zeOG>*>5OG9-$Ly%7M%&-f7)Msrdi4)_lFnwQBv7{( z_87N>dd_S|*(fWIBAfW_T$gTb{g5proLu5y);!BQt2pWAtJ_Ua|`JMH5N3L@f zhE?^{UAJz@5dWH>iJj%4!IDa!(H)l~bZkM>GWr!$_2&0nGD4JIfQ6(I1fGY)Y&upO ziZxGvf(Xl{jfKEMYN-?8DS4CfJ^hpf?3wR#vMHAx224Fz!Lt+pBw(4eG2l$;d7-h)!hnXf(%wv;a=6N`^Op}ypC8=| zF^Wq<2G)VSKZ_~k``6NrBw@}Y$V;T;VMXln)puc5(`Xpe^Sdy}yxr5;yNuoh?&=z~ z_-D~?N%g#Av8Rtm&$p74Vd~IYT4Mp)C$2Ee6P;Y2-29|1{{5r^lH*x#DhhBWc>R3* z{EUDes+_z)5@Ec5zNO*FH+Q~qUY|5dGMjW;uFt)m7sJODVGTY!msTSV>`U4?m*dBP zcb;9H(>+(rEv##!{dRuL3L&~YUrtnp(cGCjzY|TsZt!CLoEEp4Bo5q6a?PcUwH7*N zg*{~SzJy49KI9Yt(&G4mqRDfJ)K~X7vd_7>_;JcGsa)-3+SstCG_N%PG`K^~$#Z=Nto@9V|<>IwA5FS`#Jl4 zE)k9o7lqTp#o3T;=|JOKDP+cBAm8J39fFc%&MZrdz9)#fY7l4poit`Qm_S^b@Wj;pKO!Z z_Q*la)@q=Ex7Ruc6`yuae5k|mf`ulJ@9t7><}>C%C?bz%CaL&Zk&AtV(|k3@l4dde9tK**knwkyy*3|H%`)o8w>M2e5iXY@1Kz2DKfoZL0v>ox-E z9BE36I>{Kq=Ue4$TCeJV^$S?RE#&?X z!A?d*@{2Qzl=}R5q6?DxbveWjZR|gkfN92!px8NqN|J1 zb837C;s^eUNr6b;zt?Kf1x1@|11^9+0~SSwsE)Me94Q&bB`zkuxpP+S(Jf|!`I%_I zx}yaCLhi=5k$jaTCOo@S?l2nCb;iJe_!j2m99y6`awfC8)lRWzPFbwAlh#LC@-lEeC zOY!blElV_Qmy^syr+lBmLu(|0Mi}W+8z!qvDc?8OxmJ@|?`r$p1(=Y<88y3BBTyZT zet+Ta^wy0Z+d?a_F$E5@1jmHHlu6aA)nwLcFtnDu0oIs7X;0dNH z_Te0!2h{;(35dBco-Cc_XafE;_9O~TbmCm{<1RZr@8`Z4*gw7y>mzGIU6fyBN{e1R z>jpI*UvOOz1H&E(TmUbPsYEZ7MM%y!FA{oxUfj)^s=pM#o>oc|T_ifCw25B?biE-3 z=KrpvV!)@}*7$t(df|Zz-$f+%sf^maN2^oypL|vk;3J%eV|CDy$#Xw&F$CDB#Lq(_ ze3^XFfFr&{!fM#Q)uo-})j8k}#g-Gp$Yzrgs&^j|s!&}7!qlq?*e4N+xnjT~b`3sU zK($m^oqS*C1rN#biRk6eT4uBs$t&;@RObZGl%`TN$nspqE4_*TQul)TQt_f@OcDr{ z6_uL8wRhQ_xNtk1SPA6lK0RfexODFkVTi9d**Ls&#(d^~DJ(~SzHvx?7JNB<_j?$n ze88m`Wl{M|liTfS`KP^YAIQFk!=f&+uu+&H`s#7;2l|@0%Pr!BOaIHKm!%@AXJMBy zmsX#5kM8rGk%V8e7?_D{915RzD!v<&jM)(uY}KnPxa$nr`e2cXLVG%X_5O!ddn8#W zBY4Xb)O_i^(q{@bR?H(crP)+2R~#ZO$EAr(;`Vx!rH&!IfY!^8ijpCmB5~~|59bc* z=SgKUrSXx}n;sXNa$KT5$^{d>u$7nIa}$lgP3BAIKhe!Ymu<@Tf~}{>f4^GRRF)39 zQwLXV;1xP0o;USp3H>!KwNNH^efZ@QWJhFhH4Q7(zz{^V+oGf5$gXl^L0=tSELtdrh5fU*IL>ija+2)>ZoT?M>Jc~5^; z@O3#c`~CKNd<{EHs)$|h-W?^gtC;25D4E=U@0Sy?rMUM>x!Xyn=K@@&SE_*Z_tCLo z#``^V$>C9SEcf|87N6{25#RBkdKBri?MnueR;n$br5TZVfqS1H%xiC4Zj61PnA`He zJvXfh1pQ2xNw=YL7bEHGSLd`pi zUygzTgQ0I{Np}iII7GP8hOS-{p5&Ndo2VRQBJ+7Z8LG9uN_Kbu`J{Qe{Y@v?#jmy) z(hS(EmR(NM1&+ry@=x1-e6q9dY%>SAm#faaKS=i0t5aHDnR*)9-<}OsZ{E9l3f&U4 zg^FQ*ZMO#owhhazsVuIVI~k#`_s%Q-3O9A}TNyN;u6$`hTTflR(ejzkZ+_GHe24R* z(>nb^uSrPX%V%iiw~_#1#}~A}F6ToVIrQI4{fZ2jBaa!qeuXE=RxgB~kl!%$G8aNG zdA-QwnaKtIyE7Mf>)L%G6B`133Y}3XU?zE=h|ijM~cUPp187iqc+c>OeTR#Lik0fAHzpz$nw9eOZY z8l!7HA{0^n75kx!*%`6sqwmkk2Jk)(kjbHLn0gypVtV0u=jDt}O*YLjMpe`Y0{0^h zlz&)Fa_?FZyycXZWq&PMH-2@|eA2QxFvifoH^yLi(oSxC@WWaU&_tzqtpg4XyL)bV z?RLlR>gDQfaewcKnD2gRF;_30(k1b46f82^Up*UF!is?9W8~0aSl{(A zwW6?}G9U&J^YHp)Z)Z`GY-Dc2x}DrX#c#yaPouS^+jRB!wPai4wRfI3;XG;l-q}eF zo+rSfOLoQbdX`D$a{Rgkwlg)3xAi;M6JEt#A*-}?AA!r)+t-HI>{Bvip*8vs>kwcr ziWTX}Ut^zu{GPioVzOPR+PU=n+v~qrU~Y7fFXWim1@aebyD&0FOlH!vBg!rMVCqoO zJy&Q^vLv~E>#E2&<4|Yt-HwkI!M5(qp8+k8qKo(zy64l+?j9^%bBo%>Ff3<;K8J=w zU#`qQV-HfEE(Pz1{w5c6yBECWbw`BvBt=Pi>d%?-wC1+U^^LT&q$6;yyB_Z$7s^@7 z_z~DnPQZTOx0O=heCwnOwwV3<{Aw=_o!4&_seQiT_OGt2+p6wg{yQ#PXq&F5|M?Zb zv9q=xn&sUZ!?(P0J1T@2GjN&M*mAtp;(nqomgqh^^PS14h3h);;T7K2F7==6)y=~& zg_mvJ%F{B1=T{|%_s=6biF>Y^2wQm2t?M!;VZPWOZCGX!wR_K@8=--{H|KIKhpU+p z)`SF%m|zcJ>2mjjhDDM&e`A69Wc#nM?E7&|JR*_0QvLr()|O)_dzNiT>x1|`M}B)k zWq>q;FIV#VOQ#>MT+Z`U+#0XgnZ6#{R|OMae|abpA`Fhy4ZbGty}oAO2X+jM+_V)6 zgK-RXC<>d;se%=+MOSa?igw=?xNh0PW;Au96|O6h4>^sy21ahaQ}Hd0Sj(JD6OPCX z@kn|)^4o@Lqy5qKu#?&_KK+XM{pF<}9~N-uWV=nn9s2cmnvXA!AhSs|V1EvAQmW3- zu?s@#&I1VE?srYIRSFVcEwe=tdau!4H6+Qy-c7j9Fu_)*VI9MPR=Aw=wtr<-WLK77 zvYQ-mHQ*}hbj32O;lMXhY68!qIIlQxDO$=s#eL?U|CNo4)yK0Y*MRrJ!}>46L0500 zPA}(Aq6md?*=IN9?B@}U;-a9d{`Uv*C>+Br$2|TXdT1gc_A=(mfpwQU;7@bMf4?Pw z@-QK5lV1XaSC>>>l2CVn)61K(S{$UM7N?zeQ<~j0_U7u61Lwd>mS6gjs?!pZ^I|x# zDoSXJz+E2pYGQ2mbwffgF@BVJ{sAqm&BK9`KqIJ{09DuNWv+A-;f=n+Lq_AxL&*~# z-WfrW%S_2R=KvzZA@OtO?}fGm(Nvwx$u}}L7)Z{4$Z2dO z#@wL7-!sQS)j66pkR%JhzMh}HeO1eQK}dGxE-)M@o?8R{P{+^op{`Pq`07s-aybz{ zqMR2uSjhsu%MCogBCJ##4rF;wh0m^&2<~PZ7sLMFBUtOvZMW^&!As^!ceA+*kl_)? zVmDj6d#fGS+%c55eKdaFh5H7c?Bwqme0_0=J+A@ZuHhoJ-YzRBx|L^lf z>wEBJm*3_3XyQ4jwuUU_Jk~2xp6MP_@-ob<`{OxE5-4MR-$<_K zQ+3c8DA2MLyu<&ixCK5lZC^VfBBD8}{=kZWBwfFkScRJ;(a`bJK8D{XSORvrJhAww zELfZUqQbAoT|S$LXdp_d4ZVGIbj+@2x&_JI}oDcW`LU-cCw3b z+Yg)wjJA?R6ni24Ji8d8%Y|?lu4sDywYDL%DJ_GopiZ~NroEFrHHZ<~%cFj(>1Crv=o)hMW{4;6qdpcqIeteEL&2MSt5s+fFYXQxS*YWCgF{CjOqc4w5C6T zX~QPtge(aGgJ<3U0C%z%sJ&*t855t5fc<=qa~A!+*Cp2BR&4A!uC`)d$cdo7CUdfXnV=LO`I%S;O;uKsUratxnh?z%og#=w{{Whq z*mL}GV?v?RM*WUWQaUwb?TmI+nyqXZb9w6v3IvTl3lGBD;uFXLVP`kR{~gHVV3x3_ zd4nul$_lVyPRwY>=%m^^A;}d_bksmUY3|2+ zfvlK;AYX8K(Qg*c`wEmU>vSDWK%K+{U}?gMPKj$B8M&QgpuKs#Is$To03&DusRoKl z=!7R-|3UpAyW?a>-LFuH{Y3Ma{x-Q~p}g*mjZR|#vS=p`rfPw5`7=Soa1De&t!Ije z!_7LlOHNI5vlf%eLK1=jPlh|;syX+K0|Aj3AD-9RtN43v-$26&A2SMVK3hVY>fR1@Y6!RHZK$a$@eOnSgbOt+p4 zO`)?HGtWqfrf8>PaQJY%OR1!|q~OBDZ}Bz7MjBN9#BqACz1*9+?_!g^q^2~1Hjy!9 zfjybP(~XB0NLWw)W;e~>mbR*Yf$Ga{ z<9Mb88wM(lC0iws76nUPY5qv7{D0*66*+iM?UFPT@`LQn5p)RQ>?97i@3s_GX0{ox zW&S3t0CDexQ)76zj}vJ!bh_6*poIWxv|lvKTfL5})B506njPTogz|MpkY~ybnl?!Z zYtIv0C`y2aM)AjARQVu|gB}i*&? zCMg{=QtBATpu=*5={T4@fippa=|73W8mR;%^FmH%4I03&`GTfN9f#jX|0XyP8S40G z-^?yzoffwJ_L8ab_juhqiJm>Cj zSONd+g+Meas<(ZLEo^V*iaFiQ+4cB6aYFWHH{C1KPWx~CPRI^oRsfzI84ynU6O_R8 zm_Pskl14sgi~EBZ(vj8dpjHQ?*S*Ry9Ef`Y!>Pbsa;pRqohYhKY9?|bhOEK1wMw%) zvXKTViay1R*|xV0Q_mD^?yVAXBus-(R070t3fVf>wDr^)i0Z-1)J$40ytac5CroW| zQpUFUyqt`ztN=NCSxqvUg`Dh^c5tO?AKf6`sfDEOl=)9kNsTPRsh}ACa%`O@E!bcKpE?IsD?Fg~<$0(K8K&O0>Fe|9m)fNn}OJCHesA5Vyz&CY_(0=^RZX?YOwnxX5@JR(gGbA;;_-X>6jUKoK5<>FO zj%wwL4XU=BF64>yxk4}{MsB?qe7lx@S*qlqhFXboeun__9n0xxkDl!Pkfv@$O&{%; zL#B@IIJHNw@#GZ`u};C6*Z{Jr0l88)cMm_JdZ{;^BMCTIig(oLv?5S{NiHEI|CLQT}W?s z3uHHBssHJ^qucF`n>$D;b*r7E{#;-WD%BqBbb}Ov33)wLUs5iI$YZm%2-tXaLFG&> zGzI^C@oO;?pULrL?^>|D)l)r>?dEQm{PK10G3nejkePn?Uh7S5);9!ufOWBDrZjzg zgp)@Ze)Ct^!px~V%~M_!54dn&TB16jPA0oIZGG8i*oa3yqApe_w%nz40KG?oinfu3 zuD68p*!s0IfJ^-it`Zjzdg;FId%qX}ivHx;OIq6>e=w7<~Y5T>QeALZE!QVj&LHduAt9j3$u#C zqQR~k$?Tu)o$c?iw&nbzy+QQQ?oBEH`2YRw+7YI)NT|ms!_t*w&nEnu1a1O~2s;43 zCWSj<%Ipaide9QYwlN9hE!{NYEcgI=o_!~pM8~z{grqXkPf09G)zTVeT?}yUSoQ?b z=#F>_MuIAP9`D%S)Nh2Pt z`4P_J0NJKU@df8*{Tvr|XpZIU2xK@bRuu7^QGv|o>d}jcNe0|blmfo)L#OkLytTTs zS*{dAL#;K$ZN=$@iPx+wTi5A&sN~9(6x{Q1!&B}5sI=XN`D?PZKz10ksr$|659EfV7&~!Cy zB5pIaj{@nNC`H40e`MC%8#4e2ZaEklf~icxG9#$1DNxZA`WisAs;U%X0>MIKxD>8e zsi;bBo1BS>z0ZhFl*22oKc`<`Ti1BshpSTn zF(hKY{!&tCB2iSN#0KU=@MuP}DXJj4=qQR&=Za5gU#VyWosiNu6x55qSxw*i)_#<$ zv|3s{TmuYTj~sL3`l2oT&^?156J*9fYz($nMWBT%Qr)$Wom(G1PPbR{{y1JhQ&M$M zg(f89}2eV?2o2pmHil8MOV2&Vm!o&n4Kj24k$1Z&hiG80Bb#($k2EfN|RP`W32jGU z|H{}yzY+nN5(<;#5OF5^U;X~S-wzs8s{kSx%eKIR*lw-B51PxnqL>GHLAlB=fanV_nmkRTWmh&U9 z35GTv(Dn*reb9)KFwqH?9P2>E7}$GNGW`03uXae$-`Y znI20I=h2;k+|J5LWio8l%_5QM%{|GY{c)6JYj?}-5@0ME(^Smj(Si{|qz}fDQLWTU zo6U(nabo6SQ}y*9io=6!QjUz5>o5@c|d!%bx$a@ zJU*s`S6;ip%S(bU_LS?rh6Si2y-F#!-nejTC`&5n#Rky;pSOG<4&|o%c;VCw!OfR6{7cVIP{ZAN1B#OqBsF#YSYcPKmlSxp?H%MB19 z6d6^?@yj*9XxYdisYZm3OVXBVVx`wDwG`zeK%MVY98}He)x;pqUQl=sLQ9E`0^G)(W%8hf8t?S_`(p` zOM|V7`kk;BU709$`|L*jwm+YEK;C(#vRHbFgBP--zc{WM3RE^mt+ate;B?D%k{&7v zc>NqowVz3J|7F)3CMsUgd)BU80|+YpSr^T|1q}T+35;T;SMqAmkHNn}np-Uny=a|KBuLTqf z9T~gVo)|9IG42dEiEfRD_K~{|1PWpQ=xLeC16Ks?Y)qel=`hAujLiAhQbEA-;hzAp9&wh!6S1F&YaA_)= z8x2V+iI_W!sZ+TEW#s{(EnH9MS_SU{K>_AmCUfM_tY_l;x@hI#@zUl zXgT_+=s5xv61>Dvj$eNvIEYOl3OF@XXMkLtIUips>bmUT^6vGi){1$>v9^N5>ck* z@|Qq#6i+4XlN`tf@J-DDONa@jG*HuC?J*1v&Qbzf%<-+~0RCuDy(5?YD=OVUrB(mU zGyLSq1mT@rL;Qok+bZ%m=zb*2sjY?GY_Uq}lnNjyu4z4I$}JxR@DNUflP z!oXOJgIdOTSU|)T%>a_DXbi2SiR#UYZ=R3+ig-(g5i;FJ8kgQ8fDhxfmIg7`PzEqf_Jb>m5sN+2dOe{3)zEKPt0{PA8( zQQeb3q59lE9olIHQmt%~)dTT?N%j;!Br`W8KPLdjWTd|D^UXpFSBO1xgS8(L=jKV5 zv|3R_IO=q>f?sMC5Mj#v8c{Y0Tp^+a5&+gG9ps=$ zd(I~Edw-F$L%)W7J=V~_q!S1Msb)M<Ak0KoZ$bC8;IXxcT)T`#wh0 ze*)&{-T{4${$+iX`({7t&!WQ;OG#^3V`%lJg<75h5B#I3%shxNo`$N03F@*U{B;dEdn4KCQaea+LLZy4BJmRZl z_&@sTg2D^6KRc=4)jaek4I|Zvi$0Ru3`hKOeQ!Cy`zPQ-Bn=GY@U*%$m+#kRRvbRhvqz7@E3X z_Aj!gbv;k&%yV1LGb*mN!>+B_zmR5umFw@j6n`wLhzgCh{Z+rA-F{}@PEH!~gNq4@ z@CLF{r=sEeBaBKzPdZ3JwwVw<8jTVNh${_|uk@WIE0Xk_YUYHz!}7PAuqS2Yhu+1) zzg7-q*yNK{o9xBkzgD@`2Fw`qKF(71{8H<^xYsX^=#n6nXRxP#o8EVOJ4L2~LSGmn z5Js@8MwIZF(2<1b?A~W?Npil$PqP8hLh(VDNs>z{1_Hu=a*E!BqG+kRrNpXorezGg z;7Ic@UOFq=Q`5$Wk4;&^>^Sb!v6wsZ`9NZ*p7)FnQ_hROt@O+}O!4$o_4)|biFe{= zArJ#Jysf4wkrYL~_m##Tl3(5huzSW(Pi91RH+^)V6@z%fXQtd9|<(Qk0rk zxs_@q0#@mps{Sj-7DIVNt*`Dt$&;J#EcYL`0m396 zoc#mzbN=5X3KWV~yadfE*(q_)O;PGeJwIna#s!SwihH_r)Yc=$e>h`-5V5ewyN6)+ zGokU{lAvXixx+;U(6o@IbQc9}sTAZ*Bv{WbS5R|4^m01s%yRbWvYs9IAs>~Wi= z;o~_~VZRwQMFIo(1lhnWsbNk?e{-ABe9>3@UN1s@9PFhxcO}a{a>243l+O4Bqg|3^ ze6)hvTl!7_QVrKJybTgJ4_EFZkf@K%6J2@eF`p4&=zl(gYd4y%p)m*(KAOJc#3mIfB&-0O=(l94{U>By9;7h`vLLlp%KaC1cO%jsb+mYvDcMw zl`|0t3PZjnP)W84a`I9ean713&erd2=>WEMS+@0AA%>zH1uiqwz$ca8PcpEaVdE<19!magKkp9qfs*64>+f*e(IQt!ghwU8Z@O z+dL0?>=@*w@}-WB;VXLFgoVnPYt=2GFZEz?=K%&~lgDtdFi;L59boAamzXtJ>*HLZYI-1dm@)JdA# zX-rplWwvZ1tf&2#4_Vf9eK|^97;F`%)-1B`Q4p&Q{B{hBk1qh^GSfNCYw5?!{%e#e zi8So%onHO`7^_o=A;npRL7dF5I=loG!es^f2&8A?%m`21`Tumk>lv0(q~4GfVx9Yp zhzES5KgGBc8>TI9eq+pQ68jUmQblXfk;alDX-DfnVw7&TU|%nOyE;i=AxuvMR*^*- z&+>bo*-8U61S(>8E9Pc!(5h`QH-50b1Q{wj1v$U4xC;~PXD^6JpgKT4!Tbu;DRw6T zzola-6`#X&N}z;BQJ*80!G*21B(QR#&r8hi#y+1(csunzC_};l-UR3o2AizAX${ut z9HqH-d%e#wLYjJs4MgcF0!x4=gPu#_LTzv$w?QaDmzLolh@f-D#{@bGGNwK5sR+TP z)Offv)t9z@j-9PTTG)kX!&)YJhd23y!ne#3xmM`t)x@W7$%aj%O6CKfnN6XcE0z8) z08>D$zc(!^m;F@U zV6%ml>_Oy0FJcPY&BkzV&%u>2AJ|t6Bf~LN6tE!~zJO);Y9gT8_;@2NkP)^dAOxJ1 z_p(&UEgUkiPh>^r0^2ra-FG zsTnK%Vl%)z<8T9-;*2{DWaAwMh#GViD#WP~hCb093ZIpLP};y5X@Bnz;T0?>#p?=( z3G_`Bf);iQsa6?Q!b_8B?v2!V4>3|^R7JdFI@_xp60&=vkye=JczB^hq$x!UvITBH zn=lP&sNnz@ewGK~%4WbHMj~~*J<+E8%kyf=43#Kz1!tySP zv`U9}=?2a#C(1^@U@h;T#^lN{cs=JwCYcvm<-t zc`0FK;4GpaLCCZENH>&&yWyTZ6DvuDj*}KRC-6jDY)B0;{K61Ucp)UH4SXD6!NFLb z$Fd1)ZCx12bJP*74?hEmOJOf47m{_Xh`?_n40o#)DhGMhD03oTKwWRbMBqp6lq7!w z;7fg3-M1(Ob0y{AXTpfw2YG&muI(4DJOnfk4U2jgz0oO4v4V&3pc(5&gT&>MF1SF@tvXz2!Y9;`U7upWs zXSr-@5!XyME%(_>-Vhin{iKvVg~@d}84||>TWW_Mny~t4Dqi2)f}KN#c4D4j zflHzFvWK!z4ncDQBw84dfg~xNOm_nxG2?uE3Dv3zL;)sHFQS0oIb=&gi)y_IG#Q~N z4*7eVLkz42KeHVTqto+_-@_Dooz3CHWB_xNq0Y)8>97RT7h^!AQVe+XKo~G#6i5e0 zgy>^cg`|nb&7eh}&Dr^gaZ){QC;4N9%HtF`kCCE-(1bdgqk7hRT%&_A=DE?QX!E3E zesOQL!#*+}ymmLLM{+Epf|HiT&>1>J2it8dq{~A&cC$y(mu-` z{M$>zb8{#sfc7nps1*2Z!3}r{&veKkmQpb-pGuV1_0Ep95`O1uuvF7jr0E`~^+QsE zOzJ>4Cp@f`A&Dxr%3HH^nT@dUGZwfJV3t=wWqzv-%^q)>U{nrOG;XLe1G)hw0@6_B zo-8=+?Xz^spaUFC(Q}dsu}}sDzR9u`d|QmLTdhh?Adq@_c7*4i1Pc5CUJ|Aw^bnGZ z3!q}=Qb3;5bpZ|8kQ=%RH>nxHI0f-WX9!gYH~+LkG|C9~lDNmm$UY2bH1y~8dq9V-ORuwdi z=JR})%y|3_IWZ-=R~lkD9q6JYffq0)8eylRvhwE1%esmgvei zYF&BW!X~}e-7xwHTY){DG!&kM0UL$nHsXb=bN{W+J)AMhJ*bkRNlo8tCGaFO0FLCu zxEoGJE9fW$!NZAQ5X{Q30q%G_*yWLxbV78wp@DVEAQRUH0Ktci^&B@;c*+|gQ6!w3 z(ga|J=Gh&IT_FXG026ouSD>1bO%y;=X`cDy2vrU!2v5#B6Q~$vokem2nvTj{v|bCU zV3e)OK`gj{7T^NVqvtdaWwyGh&ZuBCiv$eF0Y|pb(N&O!7am}5?KsIubEE$?8k%rPeual%X$5)^`%cJrPni@7AidFI?joEnEFNUN(LrR?ioT^0FtzXGoA1Om9k zu-Yk1=ml`VO=MMS$8GEio@^U}Dk>_{JY_Isws#`lJ^^E447bHf_^QO#9-;+rB+fR) z4J8OXqe;y|6)1a9V4di13Jo*eP^Zx9=mLyI12E@#S*khu9xo#Zh&buzBOnqv6kATr zVDhd~Y>sNN7tF&wLX`t4s#M0W=N=iO zOqf!gq6JJ(oscCl!f+!SP~12rWz_8_$|p}@Ta?#Iq!?o2 zvkj(BCX_CQrkMST-U%6~Hq4V6-F2knc%2HeF#h*mlj+q3(Ws_5RCjPMA20A9>6z7$tX18|Y61fl_s>MZsyxa`lLfG9n0jq_ze6zxm+QwU2Wjkqv zjYyiLcisVskm({UT@he92QJ{<35!+m{Dg>HS?h;NI)T0-vaaM2Y!WCB|FEEv3%Gzw z^)Z9}B_M=^=k>Q{Ov?IN0Lj7}NEe1iM!Gi)+^jocS-QD8>Ca1v9soO~8;XVHRy z&ZP~+bEJkhL+8R5Zm|8=!yPhIx?YJ!MqkNsi|?w-5^*4(c`OR-aTpNZgC)}S<^ zk>rs4H(cHtD-yB@kS!`kS60#yqf+L?)`|!?S#2)|>zUORd5uF3o^RjNB(z`DWCw8~ z+r&m;Q?4lxK+DAdh=tvKxrRrGO~U3Soa_;(hV?8i%!Of_uiU03MhiDI6ln`Lbf0cU z{KYj#08PBm&rs{c>ZO?)kwPF=v;v}AzSjFlAlWbJ<7mFRu z<=K<9czxVrUaSvaarzU*Zh#bDC&5@Kp554Cha}<%D2cIpZ={uYszc=|e`==R*WfkF~WQhz~!qhB{WfzP7>v#M!nK)CK(a|F@CAv#8qBt(zYs3%mglR zw8)PEaUahZxo`$JEb9mZ9_<17yw1i1>CTd3OBG_YbX)APWB=a))w~2DK4_J`s{n*R zbkNBK?!bW~m&}aZ=5Jfe%h(9yV#4N@c`@rUqY~j1&tkk9-D1b3ixz&hEt7^%Jm=Oz zc<8ewW+{NkjdNGYaVmax=uE;MV0FPt2uR_`N*&|`5G&@f6dVza{1tgfemFA3ync_8 z(48#)X=l||#P_`h+IKQiUx~4$%L;LE%~F3GEerEaMFvew7jOs(I?PQoF{di!SeeO` zK;{uUStN5*Xw?!l^ zFHXx#6D=gT1y?)k=5i`l_a5M$y?QV>`C6Q-U-2xCoUwvY8H{yP+KH& zodeHPVOqef3`kYNz=z%xx=j`~y}+<}d^hKerzR6=?} zC(JeGICUf$mn^To%IyV*yhyU_W&34!Gp{_VQe>GN^OfRQ1X!qKaKK`Nv~&zehdP=7 zd1lCy_-{0UD!>G&fRhDvt)&o^jXFnKACEX--C!8CNbKvx(v~wvzw9X!$es>^J#UVmnZdM-AHxUD_d#4)Dh&Q zRDz6IV8Rg~gs2evOGCUHT$UoXs+D|;$7&-3zT^fxPgQ1=9G*bDeY9p!TT@e>%H`T7 zX*j!jxo+A*FK6h^OPEUlXD+&8VKv7LzsBzC)@n;EFzGS7)TRiEKCwrD3LpWJ92?uz zi{*1Mb}1c*WL*TtDiyFo^1(9j7ea&(PVu0mV8;VrFM-Nwy$G^IsFtUde2E6qz_F2l z5Itl+l$)*THvOi1>{^M0yN?`OJco=fHILDn6nvXPGb!J}y2%Rj@%8kQk>wPNMA<;0CBjY}ho*BMqAtVZNzM*wRhuVdY8SZKsff>} zY%$U6XemvBvTey)Ph_4w)T%P5Kpt6$BsX?TKX&2CK7l1r1)zYH+lf+uVPi`1Nj~30 zey}aAg-lg-4xq$55!o4tS21igV?JvBq{*fon&$~ zx>m|#D7H}Q>pT|l64xD-p&e)|SAUcE#i3fBtG1C%guf7ip6nAO{ z?dSo1)Lg&K0}Hq1CU!I5VHtgck19vG3}|~v)W&++>`MIxR=P+mS=d$XcmInli>MQ* zpOFIiwQx#hv0PW~i9n#=py5CVfyror%f>MG1!CWvjq>w=42;d1zM18{>u@*-W7n{GKAbSLX605or- z@h;5#*=F1Y|GZZC%R;b$F1%smzK5;zJkh+az=1aJwvb^F6Liy3qTQiZhWlp2+@JFT z#loF?f(B$P-YFX$5j5EpCu;$Vlrbz_PV+q7Sx=KMAQH1Q1vIWm(ZA2JVrVUbP$6uk z(fZ6<&`D;*@e{{Q2nv`3;zgtcT@`E)z=i_?vJLNJYi$o*C$jN2b}%PxrTQ8wPN zAO3c$_*P`2cqN;->KXV6^7Q0H#4|ty$JWibD9hYoVdEsAjhWkrtWI-?M}xy#S73%y zdgKV2P$0$E`J{Q~$dG~0Tkk1HW$YQK1OkI3$7{B4)@*SsKtx&AeAWstTBpdGdtRb7 zA(0g8*WZ>g*nAP%Yts!-_P1@Qi$G0?D8xw92{FpM#17FKz`w1A`>j{RDfh>nSie>^g1V3=|YC&_Fj}0u$N^k&pE(S`Tm1@Y@LX z-TfCR`m`H&*R{4==Vp~XJ26RcOzyGzB6qiX?&*Xan`I*4wjo44ZA-eF9|vkm-G_CA zPd+=EUWpH%bk9Tw5Zlz- z$xH7PzEH4u_Vzp&0f9_-(KC`g8vfZKmh~imJXZYHIJ@f|RBZ+P&fS4A z^L4bS>ajy?_I^B0yV{j`x%Q@l5TkW*SLMiF-!5L0$8F@TuG?Q|H)n_T`evnc?cBZ3 zQYb$6Nx|JLjgTU_FftvIdRePfIUpPwqCgcG3VMR6vjGY_ab)9Y-WF>fRH3IRBp&Pl zhB!htvglO^1#HE0dL)ySYatK(bu^Gfh7}P^Mn^475?~^;6^KA<&mxKHZ`63vIeu@v zL2UEG>5*towM{o$Cv10a13t)ux!axqa=U}~yS-h)0{2^)D=Ynyr^rVG)c=d?4sz2f z%z8cA{VbnrCiC4Z1fj?ABHN38dAOo;J_hg_TjE1bH|%z^!<721=2Xq@;nJp3Y{SS5a3p38q@ zVcYm7pX7i1W?bTTib0OX2sz}}x+2gwYiY_xhN_Nof`;vD1e$=*1k+L);rj(jHuC{J zz^q_AKitW?FR^^}usLQDTi{A;{IH;?M848N`Ln17tnn341%`kTU>saw<_}D8zd|i^ zW5yyF?Tl038UP{=UWTq76iQFDD5zR%xf4K!936ED;1y z0Z)Jd^gdN#S_KH$hj9{Gz_TDP@FoXf_8|hm00cyqCK+)LS^!j5Zb$_qU~#b(85U z6CC%zZ_t8tg=WCb#9nP+OLYy|2Wu7t^GM_paD_SXQ5|8F4@y*eDR8X@13_AFC&y2# z5)(x@6HaUg#I-mnMvu3Vz&yplBv`kpf|tn~a0Z+K^Z}J7to1m`m3F`|JtU?AFlegH zCou>}hHr_34+6P09ymBF0XK*VR#MqZIA|ce58X(bClQnf6dN{(PH500 z6ftAN8;}Ro9j4CNmh+(nfQDh#La=lbN|A6F7-bJa6TlbTBi9m3VGY_tHKAE>F8~U& z8j#eS(SISqNfIC2P!L7C05c>ZiGertUMyyG=P2 zf!z#2mpC!6X*iw8m(rt5BFmCl(q05YXAOyA(s>N~%-*HbQGW}KZ6TUve!-bpas{w; z4Mk9LCDjaWVV)ALR44vmT@n6CS!o9RXRV=LQ=we|Gkkum$N!+6U zkd?$2g3}k%(>ZVJAmC9Iehh^N7&s(cZwt;x5*wxtz02aKdGupe#3Yy?*Z>nD_wWRG zglHFU#3F!!3xf#o1ndCvf)v0Ub`sBF5wHWmfJ;CbaDr%7?FPlwGZY!`&J7UkFnwx9 z7C_$w0aySCQ1A2@#?BV-1Z)9Pz!oqB1PSul2#7RDcUD57;1kve-{G)h>s)QmtYVQ2a<(*jl-yxTT6uz$k>~gfr#@oj6*ICF;j~v=uyytK#U@DprJ? z4PnzNP60IzHIgf8Ind1wxG!`)?G{h~T)++}mH5;uHj9CqMaa)=AtDKffc7yCJ%9*M z0+;}7*MndMXaqV1xgoAtWJXl#@bx_k38NRlFj`qfz;^S4z${S1_8~ZReHWt?kT7lm z8zWj!1$a_@5A#MBgh+&gNd^ci8W}j#gWC7db427}N1ELCKEk0rL1Km}U7|+UOjq3w@E>$QLAhiCg<4 zI>KSQpXmrHa0OOJ)TsUZ1W7~-ad0GIlVkey<~5A=(syJExi@DE=Y_^Zipt5+NjEqCp z;5%YIT;0LtG2UptW4u5zR*?M?$rvf5UN;mP^@%ueE~7|jKOsDtQ)rS?$4)4kN^vb37MJ*;jHy0bXz97%3!(1(@U~0S6Y5YsDbh z(H%MahHT`G0e|F)@OPe&DcsyPMlfk(K@{r8sPsys$Gu@g(tp?(xlsAkl0g)w;XkU0 zBf@4_P2-R=LA~e%@ zO%Ec8W2Oj(frZ(8^o{URHKotTWL%53Rd0|jYzKa0lov}JIE4=tx7V>oqu@|k<Nd##b##->E*c2^9kwj5}KV^ZdHRb6DLqfgq z?vzh~jhw~pSq)FXl$Tc;UpE3^vqCI!X50ih;0%`UPFlI%=u?QLZqfn}SyBMfq*vAm zNYm$4sRd{80%dt;U6wXN4iHU!Q;}uqFj)dH&JAcu6wNuP%mCwc#0z3V%A{ICFbl+t zcwwz0V2m^qM1htt00eVL4Pso3YgxdI4EqaXiCHQS%21||YzQ#f%xgI^$T#+%b4XZj zXJz11fCe&gOF`b(jva|roUOJF`uS-vUt`t+Y#Z%mCGn6#!e7KL&yU;W*%x=-7$5PY{<` zz_KJHWDPTbi^()CI3`RB;bG1I7G7_Hu-r~-pY`SRoqm6Y6Yw0C4DtdOB`;I~&tbzL zFGz=~24PvZ5Qtzx9QU>?Swe!71!XBagyn$fCFqBqffH~7oC(hef@lP&hwR}NKn0YU z3nFUgVfGUt0G8QU=7;po(o|)qp2mt zJ6k50Kmv{lW||YQG?X2%177(Wpmtk85)dZ1hg$#>umw~BTL2LNAEF5}pQy>;37YQV zi}PhR31MceIcvU$wkEErYf3xMj<1O$XqsTcc4r>)2uT8oFe;D<2%@a%1kjqvX0Eww zHk+4bjUY4to6@G+lmsLi=%KdBZQh#RCbK#2NMEDDa$}}30S^nWAQor=8XE|313)nQ zCidiwd{y2W+(2*gm>5$gV{3H?8c#7(B2+MMi&&rnXgu#r2pFPhPfDETw@y7*fJ^w1 zEeuK@7+Z8Uz*#%fzNxXi0D_|Yfr^+HW>UF@;?)#Ro;=YH5)6S=88Z_K79oK^XCCqr zI~T?oh8cg!h+H)MWM5(0ZctVI1usC*wRd$suEic_8yNvkfEGX`fBCz&(P!r~uHOL;{T{ z9Z98FAa)c5eAs570#HmWLT)4$R9Y|Dp5<2#S`5rHLK+x%5yGBl?14PYhjBLqJYwL} zIF~o#ffpVW!0^3(A`EYo{Ok_K-4xKr>?}bMP;f)lB|g~M&|kU%RLq%&c!o>row(P< zM0ZJp(ot+F9l09anWr5AO2^9Prp+~qic5BH=9@IYj1Z7aOhQAQV0qGNzob`!Lr?+t z!d8GhDl3ayEU{*dd}RSVM{j~v&LhFOC+tof8Jrb-#6d=9TC#GMTN(@7NhBNr2wW4; zB;*T4feX+Th%!f`c+xW}iV@YDQ|`bGA%Tih1XO=F26uo5D4mK#d(baEQJunSpc&RL z)=$iVKkN&b!bLH@a0Nro1jlBHPm5S)&u4T3t}VElALg>*?yv{&H0Yw=om z`4*yIQW^y6Ya}W{POal+35-*O(E^^`WAoFTRXbdG&dC`d1M~nyAb|65SeRbH*oXh6 zXINfsC~ZsxUcrYMVm>+9;A(k2b)YUK*<~DvI3&)uQkQNFR!-=yj3dBFcwaGJV$z0%N=r8DB)wh)yMd zmSrHQ0WJ&&<<}NHHF%*>@k&)2><&XvGxSKSfn7M?#dL0$upuO-qB#e_krk?P-4)BC zZqcGPOlEOnj$O9`n!rlA3P#IC@a`RZ!P*Wfk4R~@1v$4PsAsv2K!8@k7Q2C^c316f z&yEaGhq?--)f6EEprU@|dGj|+q7Oob&>sMz2v_D2c?F}-j_r6id=)|#DnkUg08zph zs*GMPZdWlC}V8CG#_BEhSBW zK`Im7433+i=WbA=xucY3jRFz3Xeo*_cz$$**7X$hi7Z`6It64s?cI)`NwA~ijtsB@ zq&G3;%V(W=qc_8OlZ?)a{N;P*m^Dj%6I!wroyho?i$u|S2 zR(_~z>RBa)=msTeCrAM|CiifB3JMS2?JPJXGeC5+pTd7p{o!BC}{1K zVTIu&R$M_6I`(x(FB1;YCajzgXnxaq)}YfBo%M>yn7U+;K!ld2c>x+yh)^;HswGoe z<)sr61X}Be1-xdogMlRXct6t zZJ0FoqN<{5uqvz$tHf%tYOEfsB!ChiLj_@*&sTsnBnNr| zIQV2S9kGrKFanuTHC#$hR7s*WM=bgXo-zIpaS(e5M~x2db70$r+^>ZaPMx&UXxvFa(3s<0}m z>Qt<%7lGM&p_Hw%>I?wy)BqTZt-7h*HM$d~QLA1kz#+T?tctCCYv1a({;hy(vC1c0 zC@;+mAk!Qg2f~YUp`ZY3CKWJ=P%%vflVxq9b~l0x5Cd?lEJfjZxSl(TtEiAPJUd20 z3(&EaTXn)&AOvIqnoqw2x0q?QhmgQhBmkWo5g;E{BB!h7BLx77s>0H+0*pZq0TNJq zj5}F??pG1CnA{u^&?5i^M?r>?0~kguKn$?F)~ctgX~)fhCKH@_Xz3*km2SOE41XLnk!RE8QHUUilXp+752+?aobOcbZ z$V3rvy+Q#K?0~_&776C-sPGU-uNSPqX}w;09IX2)DzK*@?DvY=C86yrVlbp4D-?m- zLJr9_ph@tW78D7akE&@NE=`Dmz%~MoK|#RM5Cr6n&zF(VW2CQ$0TIByPOwacz@{gR z3!mB*pepDIqrnsaHIPFKEc%KBsIN}!5YWDAuj(tAgYMW7;%g;B0zw4hEA3I%cm=L2 z+cL5l3B*CZKxnuv=8loTkJ_jXEQyeHjyn&mnP6yEIVn*OTZwd;1grsD5hMW%Y)F6t z+^+%~nb22Eu15miVZmasCjep~DnJ3m>k(kQ?ys6DQZOno0ZYT7Vc_vf*e1_`Due`t zEYeUj>X-k75D85Po_bVz}z)l22LTfh~kX@+Vq&ebbodoRCaLEdsOvN!< zy=t&1>;${LvasIk`3l39J2LE;K*Np-B!Uot!`=%`0uL+2p0XGdU1cQPH!q1cn=f#) zfn{Pq1t11gtmAsc^0CY;_UgV0u|0zNTBGtDd1JoN#h$JqfilYkSP=?<#D)b0fEOD^LHVT$b zw`l9s5@o>?AVWAiSin9T(>hFP0As@75rcda ziwVGoh9v;zC1Sd?_;GK}mH@Y;29)VfAl%9z6c$s#*9L!(ExDxxya8x{8vu6+0Utqc z=M)&Wh%M)Hqd^E@g`L(nqKSz?(qPAeCS-z+kS7=kC;$iuxvsX_EzF{hFg3cBw_p=g z4>uI#Ayhdsmlb3SwImKBP)o>A*cWi-U|@cc28BU zm>?FIJXLL1qdOF^kg4RlFbK4~gTp8!P_kDohHEsjr+DBQYVr`8^o^mp#(uN)PD5Lb z<#&fC*~i4buivbvnZ<6g211eW;yS2gpDByLeIQssrs=u*8b^RJg@fUhqpRoYd|j~M zYmnRQ5DGyqtrg^seNe6vsdNOnHNchopK7_@A=wuMxCmo{;aMIMxp>QmOA;+?#$EcF zbs`4*DDAp5;C|O9_fVGrvO)oO_wTR>(p~7LbifE|ORpq*W&wbL>}d}fcX@&u@#EG* zNrRO$dnzg{-jj9TmVR;BBf{<(kxt<_d-R1>b8 zYY%O&Z42epR+(w8+J(pJBD!dbsF3O^0O#ygYuuf)LPGVL5KRG4!0A9}bg*MV(vaWu z04Cp%TLc^d1nnBP04gpq06}{LXe=?570@^ou{}V-x*3!S+y*Jz13bIf3l{K9sR$;c z4WR6DGK$N#+xD3Toy-!zk|qtAs< zdbzQKDXV)X45VyOyV9x=3V^q3+AfFWpjjVig z&?>xSUx;gHrn5S4@~FX#Y-8@RJG>VGO#fxVW{u0y2RDV6;TQ)T>7rvrhmOMC)s!A$#0w{6~O=SyCjZ$W-nMdsm>FijfFcZFU!lU zCcj5OTF|z{4l`e&F#RHPWFHLB13;AZ!FWy2|lDb~5`LX&|Fy&9T=qzb4V}hzE=|HlpEQ?3tY`t3p?YjYkCabIw5Cbp) zBkFFlAlv{ufDGUQ(*P}S&|m|!z%+o+Fy|;)Gv7iBB}fUjj@vY_k-ixV&68hcrin(s zLj?Rgn@`01Ygjq3!zHz|`M%XGYG)!morNiplkX_5EFJ}16xa)$XRj1L6{bB#@w)}< zeB47&z{4WFy)Gkr(^|k&02R~oJprRZsf!k9C}1#Zhj;L>mB_XsvXr)o0p3vrP%&PC z5pYROcXMzb+^pgq$*<>IGpsIsu;3dlEN_>JYuJ#4 z@UTQq5IZJVro-Faw7|x{bg(6hrZ68wfEDX&fU|*b6wm}bn=L@_83o7y8H<^du_yph z0P~pzv!a~ANXm?5hKOrxyMj&TQ36G!*dkNvrGE4=;9lUVY2L}V0*6?2u z1&9i9uq>eshX!=v1>*$Jg|YCcaN+))AuePZ1uSzxfW-2Abx%=%4bTJ(OuTTGn*h`R zyl?<))Yhfj7aYtBHvxg>$gt@|0Tlr^{CD<_{xCt@J9fhb@ioi~L%cTA-+7j)!wkZN ztJn6z_rhTi48tNd0x#_Cz6iYMM_l>x!UP7-iz*ZWOMthV4_BL*QlVQEtO!wnOAKVX z#5%%5j78`Uf9FkX>ukd#5XmzFJ;Xdw3{{sr#4f@s@^==+ut+}q?HvJG@GVUB@tsk? zhd@da3Iwr>kVPED-_EbY3!k%%gIK^7)0?Mv!ZmZyl^)1@9M>{PhPCI3N97c;$94nbUY{GAzD6p#+?h-&0bT$-|c4{o1 z4x7Oeu(<6D;wz$Q%6b84qxjT8f>rh@KD>Q3o-Be+jQ-|)#&3_{2?HY)C^NAHBn%S) z(~IPi!@Ah7BRHTgnwqa#fiMA9tigb73I$?EB@%qxUA=IL{Q68dC6-QX8W5~`?$VI{ zGFn-&ts@@?hn0gDY~03AAHZ6YcCoj)JNnBghH1 z$c5|@9tjBXUqRT^I_40;n*OS|V*<%*1gr?h1C&fLVN)z}5!=-=vH~pjBC7p5H%^I# z6f2d41CLDKgl<4`tut@n$-bL1gdrmP0KW{i9(L&{=gl$^fZ$v1LkMJa2tI5NIPChtW?@=##&mK{LB1UD-gv07{r zkOZJqx6!K1uYAa83ewT?X1a!~^s0WI$lQ#sOzVou%qe~Bt*wyn@vD+6OUjUGt#ji< ze8qvT{1|w_(lA6Yi6F^V&Z&?p&yP%o3~Qn~v1$Yeu-b@Ea)Jx`AY=i*hAuV|_Q|_~ zwmc@39o;g?hY~_Y+yhYCxd951(W?R({YQ}Lkc_=7MO2nvD=;g|?{atynyh8-vY2oM ztgrSC85uAW%uNyH(!iw4zm5ViE{_Vy<5Kk@WT6%F!Ay?1$?#6$lL!XO3Ui`78oS3X z!oCx5R<9fKrFGRhS_ErM1i~T=q%1fh3$uZy>M`3buT>iri=Zkd8H%#b7oX7_kI@7- zSfgpjL63JaXgqM2h9Jnz9LA;%>i@daKK8tI-fQ8HV;Qm0N&idgw5Sk)|>QhGVUo* z2~(s&BvWSw-QCUjgIJKaF21PGsK8|P2@snf$F6+X?*W{2oUAO&IBiSoW^~}4YA0AE+Ug>FE~kwTVKzu+^*W zEb&N8la8fB7WiTpOa*FhBnfV>o}i(avI7W{Lq_X-f5Uwv;Y16n0~=e$wkXWB4PV2m2j20`PSI6p^-9Ev9la7Z3nq^^CA6Nv&n5ul&YYV(Agszz zmFW}aJAbs;kwRCm*XB3dJDab&0&4XeO9yn7AKk{Tc(H@%dh#X-ZZu+$Dj>0Li=+c6 zEH_HCdN2r*y&{WFJHm7zYIA2VFgtHo^R250TbHNg`8q*Yj0;thM z08+5Vf+jU|-biQa2*C6ueSIz^_f4UDG`Ijqz(bHH#5m4$23!QxHIdx7bGXbD%<4D2 zx}>%mY!9csc+q}rqM^YC%jNPr>&YQ5BO4%SAv%D-E-1W7~-rElsE%XyEKoL3rwy~aTue&2~ zPg+U?TiUt+EWpcwQY}UQwExP$x*R<sZNPk++PMDwgC z02;0x6F@*P)AaHFyetjTWPy)NB1-XV{GOZDD{~_wjLgi9hyzfc0IzV5K^#y7B*I<0 z#!{h)v?m=*gVMIFkU&)*2`zK;g*r%}%&HVSMu~50%6VKaudvswj7H3^xNYod9ZMT7 zZ5q1MK<6tOk9JCdHpo-f6L1Mb3STr4O-&mdxq^y;1z-UdUIQaM^9W7AiIe;i8es1? z8}m8}NH6RlfiBFnZftEJBpJvf>%uFIuXqO;De2^|Y+5DK_Ij_;s!peXx@iQ2;h}a# z0Stob;XW)4bm8o)G3l@KXj-c?&%(?EU(wQ5*Uq(`fKt!XyL6-*AL0*e^55_Vj|Ov& z9$W+rzoYEZP?%b#SoJI1VT%lUv`Y-!l-FVOitVNu!fFJ4ohty!U={ha8T?;DfHvkt zgU}i9YK?Um!t?bKtmA?|QGgXdJ5SUvKw6z;1Bp^LCe1JRfB~}xYXyMBk962*AI#N$ z6x*4E%FD#Huhe{Lyew@i*#huW5S6z-;XX3Q#TFGm%`$iKmt^7U?|1r`%i{$9$Ej8GdNj z6w!AzT`CqCcY_H}3Ycy>{3Qk+16T2a;1ge(J&{=qNu-tG*k7GN5$YOnRzzADgLzRN z;Eedh37231Lh4sg<9c3q3K$WvEFwN5L1uG=J(cnkOEiAC+F1&s8gvvPAlO0oP;i{i zcG3_MB#!op%9Z4-XrwkXHC1tj&qU6M@ztDz3TFms(E+{TXY3v?HeHFk@#EqhhTKF( zFsrHtGiypQ@pvQa6fv%K%~b%p=uKuH!N*5;K(+|zBFV#im^`OJaYIgUKN8Z40kXOr z457+{TS0`9U=?r$bO!a3OX{3KLl^VAoOuOQz|%4+T;@>eL5mP>_bx<$r$Uh`1u6Fm z=|Dbi>=A7wAx#k%7)tPcN=QzQtR)3BJF--ZU=A6Cjbz4=Z9v@1qS0qV)C?S9A|xNo z0C%>mjYja?C`#I-94%XGm0uBcYptC2*Ns0$4p4Vv4CQ(c4z!9X;~eM^P-00iGej7DSmb?n>D?>CpxQag-IyaLWjAP2Y2-!V zc{dz@;OLz>m)`KAI{|t}-+J=QJ)J?2W;ZZ-OLcD75i&w}jx%LwFST@A+e8!wq*(zM zSD{8gVujqSqo+dBAm3n8{3<4-4rQ0BLFN?++-L`Ca$wb!p0T~`M|*q!cY^V zH!kpncfcM9t{;iqONm*=NXctxp2?7@3{}?xO&4Ci88a^>Ey9MWmPvvd+W`$V%!k^m z4u0V-;J1+gS|T{$m58SqYeC)`+XUDz!L$mvd@3R@;9)nh{KfF6%!C(wIB`gqmdH(V zU(8~+xIJo7Z{b2vFaqX+bIux$OoYcjID?R>@e?XQYQ~1ZU6}_&2WOcvFv~@8xn?Xr zMje?Glmr$EhH^tWum8rjfCh9H4F`tR;E)p}!0wb(g9yDL_`S)r2ya=7Fml5_3xl#t zXPO8~$M8l3tj?jy2>?59$KCM@pzK;GL7RLNfp665j;(|Ak;75r^Yv7d!%YH_5cQS` zohD?)%^(NEShS#Os<~8c!&c9tc9)W&c`mCDV#2J17O>0Ra&_bcAR0c|i<1Qq;}ZBW zPHc`ls|`G9Rsxg~ZX{fZq_fcPqC$c@61I3(vt?>*39lr+o6Nfh6VlhM;oz|ZOFJ7f z8N7Ip@PkX^^SB=IY#ZTNZNU7+l-|gS7rgpn;rR+MPTz=Z^Y{cSgu_Q!rs(pAODJK>2i*f3r?`XMG!i=M4<1jwO@Mgs|#SnJ3qzb@MqERG(u( z^oh?!fyzXIY^#e;zObC2m-8r*h!Ak^EKBPro=_k^Q(5TDgXzSw5V@+5!#1m2V`tMh zG01i~&;wRQ0lV=t?tv4qd+!?SxpAxS3f5g=KL}pHn9z;4HUu*EDGa*^dU?$-%9V0! z^SVw2*ft+>8yz0Y(eXN~-ix6`@;a&ZH_Pj5O0NX3XV?AoqiH>>5wWwuo;>FX3H$Rr z0*pHi`#`p^Qvne>>-?}&?9m+xrm&9y8Z7xdePK+gxQuh~NIGS2OFKMs1y82LZsNqw zSisB6dphhcd+eM#Kbz09#zOs>91IZCaDgPMMu`hb5~l!MQ6q;!)c^fb**33vAwQ4JHl2IN?yY z@4GRxES0z;g}tJD*jSC~AT+S-g0qUMdv53jAL`ghvoIw?%&le>AV~Ywmiw;2AT4v9 zC#9@`=PONc^Njg?v9G~s-O^^d;7IYVXQ{8`tyw)(0S4r@rBY40m6Eg>eT=t%-MtoPy%(&73kyQ&zT0ouqbO+T;$A0{RwDv^WpJ~)&B zf-u~mVzgB&zRRMv4A_QYn``0%Us1;z7rt&J6gcMM<62r058C^*I-ox zf4aw>3V{CJoSWAfZU64m+Cu@n0Iw{E$$-tPKEeC%B|uD6!VmEAP0XPzPsDEn=>AK| zCN_MJu!2h@%DW?6ON78Xc=K4m_bvuFta~#r4MDs*slPj)Lp({Au9Vm(?Xzt&BX9@< zPW`#}jy{<-djy=fY^>tS>aI4hv;^WlCk?Ewj@5Ud=C^whulg?J$HS=WlkFI=E)k2t z6I*0FdB{t-@%0j4(ej?U6EOBU#9Jr@G?vaJj0$V)+fu#!z_q*Y?n#`?N_*_UogcK` zd47D7%jsNsIt$0YyaBTV&9#rOd9!8RSOxODxh!R--$uaT6WzK43R8JiZj4;z67(|& z)E?@2vRh7JTUmkpp)Se~=@xb&pYARWddm@<%;o90{3}LeaqFn;>uxHb=@0@to9;FV zY#K5F#=j(D{JZkDJPVq$cy`8L>(VghzPV}bc?%P8_Xg}!_>?*B^u1+z;hrD+!arfx zfFV<}xk8?>8SGBMXX>0E=+EOY|I8`7)R;V`tS1o5x4exG%Ikc3^d$cvILsixE8oWM zy1g#!K!gMI>Vw(>1suUf?#X--E66;ouMBD$oGdo?{9qdaNIRB}zuuqcW+U9e;}2i# z=tefx&V2Me&-J>5qcGa&jC^Xp^N)AZ``G)zDBMOTu5OE9`D!)^GB)axD%ML!a{9bo ze+EIo0r}GB4rW``EYN?^{4hRbS5Y)KBVq{Zew&cW$9C5G}F005UrifPB^L z&jJkKu@5}v^I`iU0`li3+~T&E)^Ii{Eb(Z)WZx^F)?5UFd{?WBADSXK;lAl0>Hx7G z(XDUGI^m8FyXnC^I2c$81+cX0;3KELV)ZVMZ^h~N{E?gm+v!?DpZ=Sg zAGUnH5@1{R+!`EZiJce*-(|@Ops*s+UhdZKKPkH@!qQB=5O<=39er>7E|}7r||Pg?Hv~ z$ATcfr|KGhd+)9b?C4JOey6wRf;=4zS+}vp$GN_!FC`jJT6w;=@j1M_TPuD?FtqwoE2ojy2=>nJh=v(i_y z5A&I<#(L`9L-ZQvYo|~?i%;WY#veY#i*)q(Bfk1OCV09!es!M*%J_vEgRf40_<_F3 zSGnVJE5LAn#b?cRzJHHAB9yZ`Y0bRXr&oTf0;n@1jV_tb4Kq@L0}K!a8ZLx>onJmp z;UfMsEgGoVO^4tRs#_?ruXNtqH_uiMbKcs6(G2zqeQ5abvHt8k>sROBd5sU$_V%K| z0&gE|=(@cc3oQTokx|(ztV{Wt?g2d-l!q)mL;x&L^oII@o_SxN)*zog;fK0?_jMgN zEQJv)7N7?zLoYu4de_S;`uLw5yt<1GIt5+rb$qo5E)CA-=#=!OZ>=kAneIJ)mLTtA z5GFC#st&pH^?Bb<`BHt?j-x;Bhx%<~q08xFZUXJYo9HAUWUcKZ?(u#sue(gt%24hA zqQkKTePGT@L+QdH-T~|sALhLvlkxj*4?3%8?~nB}u2){3m-o)TIL#D0^Y*BQKA!94 zycEa34T;F2aN~~ePuG|JdY3u$$Ea~9VC%Vcnc6j=5@a^uR1Jw?(jBdB| z^Y{Ma4!!^Q(JI=w@jJvEi3StI0?WBVxznu1_I7!h4xD1cf3L`*km?P(B)J7*lcRM zqC1}RGy2~=8@wB@LO7-?k&|_t!v?;z(tCLbQlGCdg7BQ~VnrvXWB;M(Y$i)EUdoDY zM$j1O+yG;OTx_6QR`ZXD1vMBGFsuk0O@L8Oz=|7&)MHM~`_Nq_uep{Tj80aGz|+0u zlt^;A#~uZ(0yoK<1b?=-_sz!CC>(7a=gk**b>qiqn2>=pf zMkv`507!r~&F#)xM{g}k_PxccOW>gZU+J6cY(iRY2p(Cpi^zy#9w2KIgX|*jInDO2+!UT9asgLJRW&Q zwyYf}5UEG&QjGO4FoKFPjbM&X8S-Z z6o)}o!I@w&m;@Y_&;+*vSb%>V^QMIOJJa;1t(XAO$@EGFid0BvdVF zabOxGKm=^mWY>XiQQz(uH|>M_(GuQc4K)`*7t1ubJBx4xY`9^-vy?lKkfK3Omz@Y@donYuld0ahspmLD1hs_(FwFdKJG(9#NG6AQzxcPAT1bceSLFb@* zO&WGCat@A7EP)HL+gW(5Ihe_64KxCUgY~?_+CA@^rPaG}Q04r3fITIkV2`fysv~dV z1A%-AI*D2zP<+xHEOaHO)>)(+OiAcWF%T1L)XfKheDb{rO=~Q9RJH@(^w6+*qDjdcJV`P<&bf9v@YY??+UV&l&oz9|*#nNe3>{b)<_9 zy!#r1pFa0T5@7aYlK2Zo)`(uIx)|Y#AYk)+I_G7?dVe3Z6<2z0X=35oH*GqagJC3z z9h8u02RGoJiSTfCM!}t3?@avX&I{SC;+hJZKp2?-EBM7CFa%QOP=RnjF=3sq^;QN{ z>A?ZXajJr7hpUOxC*QlJ(D3jr4nn5h^6&PST9fZdMyN6>KC<4v%h$kks!+H+{x?Yj zLZOl`iNul$)ZwhOQ40bUAy4atH6$ovIbQ@zLcm4fHBEi+6;Kq;2x|r|qU3>34Kd*D ziUDZWqyPr9eV_W^88PE{Mqqm8R{|TAmS`?Ig#uU*v;az9#k4{lzsp63y5q`>0Tn^w zws%G8D|w*kJI!fnL<4;jS0P@HjU_8Y0!&EW6}K@qpuA6&M#jJpfK7*2IAWvwYBNWoql!ffRoLPQM5u`Ec>sjTjg~b725Z`X|K{<2X3DDef zIIC9SYhVzVnKKfM!O$8m0QsDT&P8AV3dhyF99pkBRiG^IV|JIbz^$`}6Zg6)frgAT zH!vg%s8GUV3oxaboB(KNDu8}D0bYRFLggJZZ~JoB%7H!e#&-w7dq~x<@Bl~4n0*Q_ zg+aiHmWsh;M<%!lb_6$ubqke}-vJE<$f|2quP}M#EI<~aaH3@gRJ*+i@eY_gWtmmX zWq9Nog+;tLf%zQ)VSEf-)+W4dkhNxj0YVeY6AU`Iy8HTNz$i_Ju9#UXFIcF_%dglG z5_-Qb_f?m#1n?EJ0tV5(Vwk`l!NU$TA^BPt<^lJ5G`F*%uWO-aoGXoK=%gjR5*&Cp2);>VN zrA)k+G=%Eq_sT9Fw6R|15HCnwn_~4Gj0D|63&OXsVTc7p4C-gXaYHI};rt3;w*nm8 z7z9y-Xn}klqzrb5A7toR0+)u!K#WY;%S@A-+m9Xd1_unUAf6=DU%Gsj;fH(pG z^g;Eq4Y{?V;XPy~(=u!V(52=<+$2QxUH~Qz zpg^jCqW1|yhK+!nWcGo<;jbeX^NjfRU?Ws0(nH!HYb`1`E$kjv3?YFdM6Or$E4LQ$ zF%E2M(n=+O@t%wZujeFNAHFK!dPA`c-oh-U7CuX60t5= z)Gvym?~pk%c?k;P2|{|Fut}iUp~a@%Ni3J8m{RgSY0Je7BygqOe1c2@^gv((d2o-z%HHU!Y*$ zX~zU5Wk0vLm}Dh$m2JhIGKgVUU=-awhE!p zoyHt#)ZJaAc=nKwAEF7mmM&Zxpa6aVC>1&$7SDSqI%5~YVWNmS!*=575U5qUDC{%qZ^Ruy06c&T-g@opH5 z0kly*#WWo%tA%F|!QdG#f{H>#JpoZMScnGA+JJgi~@pehz5ll}Dww1-zRMBP~|t<(YlCYlt(YU-;U zo@OjVcG*fmNz z5(LaPVPQ68Lhxaez;vJ3%^?6dD!@hJa#WVpZQpYD%>#&J6-hPS#` za0BgC@=Hem`=~-W{>*toy4zlm@pz}cf+TetKyYmfCzTHm)I>>Z?#&p*C&#~9LexYJnrtjr#$;p{20LkYO~81P%Bb47Kuy z8i9jmcQIv&37i0vr67R0Lpe|{!(w#vzIo`laNaU+ocH!4?%nnx2h0tC(!9`=6)3or zan`16owb!*APk^XaZIgMJ6r%pfQLZrCNuz=IA6gFMgsxB_~Co4Ug9e|9k9#DE_b^z z0f%V><|v>w!q`rM`wkBTG@`3f6hty$FY-G^MNk{!ERM>r zM%Wdw{h@Wd4ZiA7j!P}-p&pGBmcla-G+*e0!!a`7flNDS@4zIs)&ybu#lPa%%W4SB z;^_hY{s#9oFItyr*fbiLD}b*CkHrTGAh!{JKz0;ofdIT+sKNGPC2UnPc6=Ye8eM8m zu$m)SrR^APG>FN#G$vrO?IPk4KVj4ja0=fDAGPz#Ckz+2tH)l0L3p;V`p?1uzYoAPq`J0Mp>25F%g^;c2n6 zet|odv0t!}?%0K^XW<>RdbNwrqi;>Z!BasQnhI!vK(<`pH>)CmTcb3A03v(of&0c% z;)mBF!0wUOSUgrbQ5t~_9Fc5PdnwDbdZ#)jBrwO<;LK_^8XAL%#>N5R{Sg{wM`3PU z2U`_{wTg(?VGJq%YiUUVZhvpV^;u!+4r2sB0ni{7K~I3TM?lX7`5@d(6ZPI{s1=3Fi$6jJEZwd#;6X(6P41W2F^?)}I0Vz5I z&zS}8dHI3})}*jsr6Y(hat8Qi2o5<@kKy$7jXVsva4c5Hut4B9QcgI?N)R5=kY2pG zPG5n;iPev638F{Lkf^k;S3NS&S3dDIpbeu{G{qr77t4@0c+7Lv70Yj}4HwU@Keyr$zKY$P5%35SLdU+iEFm>=slz7H^uk**%W>8pTs zOzT8C!v=$i#vWUZZ(caWiuh3_zc~2F16s-PXE?!8U_BDKbPS+x0|qjYC4M9kS|9^< z)hiSL6F`2$J-I+U70h^5n!j9O$_G&FYNMI$dT4t|4F&l|JGCKH^jY)lE_=yQA-Aqh zCqBUy_|?{St&8X1=B_Zc%}br3;Y4TBKlO}0615YLgr-?|bSI{-nqXjw142sK;vO3B3+z@T(z!kxk@xW7KEa6r*evfp8>fAk%0sIG~XTYH80udjYAD z!Dkj|JDLk(jw6q=V_{0drf4LGQTf_fVlLNVppHFPiOd~d{(cnFk__D1%-hF3%tYc_ zwtLk>Zy|$VJh}Lj`OVP~XuZP(AA--|X!oK7we1dYPDZswId48GjGev%JA+MF1#3 z51{FY0+3NU0YrVQ3*=gGEiwYf`t1<`D}n7u_9HD}L`iZK4D<%=7H~Zj9y8$%gut0! z?aK^JrAeKSAgt#w!-LW_D8qA6%39-Z&ZYL#0|Ar(*oGFc)&LW2D3d3|7+xabdOt}9 z;e)JIp^ij2rUe9kH9AQa$gj5;P!q=?eT3Vrn>J5R^MT_Ke$PI!MZ zbKzSUlBxnBnGpvd$tROg_9N4N8^+Io?8;Awq9mhG@>GX@v^nCM&{VLg49^|>D1<0V zxa9i)L#QDfy&US8Cx*Uz`_!hUKPvszUp~!2rDyEeL_h%8+!EJHOu*5+If= z^e(Cj5I7mHhD|rFD-f%+cEls=CH6V(T$b`yc{(I=dBJQEV7pA^32??CE$PaMDglet z!akklu!yzG!s^wrKoelRRGGJsVR(L2CqXI$k}pH7-b&GjXZpj`#mQV`sz1sgzat1Z z@e{EX_PhcL#3cc}vVmp)(oFgqs1bKBq?c$)2`Htct5m{p5t#5C%W0N<{DQ zXeRFS_-HNrkG?(@AxF}-SI%?v9rJ8pMnFs@v+BX-!!rh|uS|=70|;O?(hBz#AcxSo zTm-Eva278GZ269C?>)@0dfzM=0BTTr2kf#iS@#SLyd-BK*h{a-MEe_T`aG0rCCKHa z?^GG61Jt3rHh1DZ7u+q+ZYdowUAhS=bp5$+C06c%h>4sJiYoU6vcpH>1Y&TYBd~cv z<%Wx)lz3sUFlP0?3Ef~FOaoQM4_Psh$N`RUT{;^RKU0d7cv6JfHO3I-;pn|CxRp# z{N+eMCafnM{W3-9Ap?<_X)uWvuHqs$LwpGiNoA^$JekU7#vjof?l*qGC@MbuBGGR zV2ZDRCb2voolwpJw={_Q=?NHK+sN?aitAiat&5+%3?+IAGDF=l@D-ToYFvin2z+)u zsihAOhsmBg1Ns=b86B?&W)Uxas7ajGI534|M>EaaSz?BU7%=B$F*%T`F>u!es6c1= z+bH@I%6W{*(aV%8HYg_=Vky^TY0m~%Aq!IiNd)YhtS)*0|F0w+z;6sDzSCgf9V&mO zJlZ1_fZ>G#z+jScBv4rZ^`5C9=;cck#+9;2m^#VL-Hhu>C*+G&vJZ-rFT-D@jZip8 z)?!6kF-3?(M+s&dt$-!^BVjf&|9v-1BY}a99~Db*VWPxtcVx+?9_8ec2}HYF)_e$7 zlrJWM!mxpv%fwBZ_poZ5JF?3lP(B3XGf?(ToN~QJ>ZMd}lUTb9SDq&+iJiXyCWdQz ziS()hel1C1g}y<3oi4vT>9DdQeA(_WC0s*>Q_ENWNEd>%|ZfkeUzDD26aRS3CsheK-EnXb48s^kXt=eTC?h#Fc) z4f>}(=&rFehX6UlRAnR)EdYEN)A1_rF84`hRklo&X%jz*VY$)qXOWzn$uJL`0kfOtQnRln$vo(hLok&T zNeU;1lbjj0d|h@H5n<`RHC6yJ!olE#dR3dwSEMu^B0Ez%X&UfXt};k)9!ym3Q-uYiIvZospf(9zGfoFf8emivlh zW~3~Ly(KrCP)$UW+iuDfy^7np`|f|Yz22sN6PXv{`^uFyL7c=r)}?_mtt6q$mT5PQ zmafCXMLyy2ebGRv3f6LOB&rdY40;^7k0 zNRY$ir5HG!6?(jdo=#5isN5WJh9FsiW4%nBlMkf`n;Sgh*0NcEtj=21PlEx1`=g;X zw+({FcLbLcZAk@yA+8UeEW47%rG1WEHf)Mm3KkV&>N}j{Q1UOx!#c~99@AU^KcAs) zP3%Ccqi-_#ZiGs}s-crWbpU1AXFWF6fB;6?A+8hMS7>_kJvcdCxdv!wd9zqnLHl59r_hm}6VG{^D zc#a9eV~H^3*G2Q7XsjF=Iyq5mbgXS*x{^&sFgr{2Ki-3a!A~dV4v)s82s5R#esJDG zzSGhw%?Zic2JSj(jv`D7Vqq-nkYn6bHsP*c=PTx=4Rhbw7(9^4C2d}z#Xr_QZv|yX zfN}D$dW+~z^kBAAE=eB4cs)3waxu=PWY6co*v$L^{wVC&f#BY%p>$fQAMaB)zy`$b->!i>5|GNZ3Y8F{f7?Ue?f zjY-Hh+8r`EmA;$cIPnUR4lE+a4&cp+_`5c7B+&v`u0CJT@4;4~Tjn|DG-i;l_f_vq zn)&mZ0eUSB4VcesQx2?*Rp#Vl%`HbS0kFd%P|I7Q&x3r<8dW@Q3rN=e&&an%pzZAm zhk#}};a+fqteNj<%LPBLndMBDTE62T7ck3J_EPc(1fIcOY;QH!d>OB?C*4x*ouAzP zQp@KxWP&ywp`YW$X5xv{JGsy7?(s@~hn<%!pBxdDx2J1QF8iFIOad}ml3*-%N9gZ$ z4Mb!oEz;q=Poafw3cR{IB=>hTVo2mmq;R{mC)y1l7&Knd#p#j`S zIL=(@<$G6z3Ok<3ymR3ak=>^$tjA?Jw1AR8te$NxgINbP7K#g>nx(oIRxgy)N9jvz zGD20C`HJbs;jTOxSY(c9L!Uu!O)Qw7P+bY*aBd9TdXw zh8n@WI5ATmDn8ql^3FUV*J}!3>A7N2Ce;UCf;{1tv3ivSV;|%~*@*+p6yLfL(K!gc z0)v*t#@+oKfJ8^7+ zM2aU^qz3vv2*-6CtDX|5S0q|MgQn7gNXGWugHkiC4=@oeATnrG6zJxn4}!X3+3vTA z(|jN3G`pfD&KE$1NvEmdyeK{hWB@7vQx1|ct9YCvan#uOfbh?|rHxJwO3ZSD7C4Jf zf`juH=u%FXEyGo2572g}LlS{_YijvA>{Lb)$DlLObXFnq$ax(w>*+twigVB2MR;rk za0IyE0R`TeRXPwJ+)M4%L37rp~x?ZE=OM{x=;C-dC1ZLR= zy9T>YG(}tPXIB&;0`OrD20ytl<<0SVx%4E}z4$f*EK8K6+KVYF9DP#~8$G0X$jGR4 zpbgpeQ%T|-m6H0;;y@|1{MHH;<~^N+h?>MWWJ)sDS%-9uY7&8-x;-K9Wn6fVMx1V{XXu*>%(U@&ermlE0vM%uDOn z7PM0BV zRgg&E2MEPBmQz$l>V_n2v_!KG8cHuHIO10Nh6Is{&aRF#JtbwRlucIj^rHw$ZJ^u? z=Ots$E9ZjahB`Azq29eo=y}iXdGxRVWkGh{6YhNpaTI$&R}`!M0B!36{6kAm7*ckddWcxqS5)Xq5H33;Q%mZdJkEv$RVAN zMzd6zg!FdCzdOq)FmqkkC!U?otZisFbSgsLOAO!p#CQ@$zPDZ%7!u=%NnQ5Fbl};LhTsA z6rMv&7U|KCo8hu-tjtHtkUF2+$ZxC~SJav|@e9wHY`o@SE;L7KsH2h*33ijnG|ZS> zaXj{{qqFTBg=R=ny57EnHfkHygnF5Fqjx81p~nmbsRWcd#tqz71~a&x^%RfDR8#^U z=elNZF`-_Xi|HWLLnbjJo{~hySJT0u>vPx29$eH`JGjSdqV93KcuPS7`W_pHs6=fJ zy3Bq`S3_!Y&sG;K0Li5<27v`+V#@72HD08k3ml$C(T!k{=SV#ED97qq+Xb1OQ2 z7d+()O=N-c35J$Jy+{{Xs}csKqMRhWJYC^4PuCUEE3ll} z*v`ea1J(E9fQ3sh_)Ilc8u1ct|` zkP>?UTrR}U4a|Kw`T)`yu^O=FvX<<@jOplJlRTK4jCQF?Gbo88ZGtj*02QSFx z;_I7kKewaJ&*&A1X}YLUz>uzN?vnYH>0$|z_&8s*Up^N#i|)FbfoBm>Cl5re(I+Lrw`Xo@SA!d>9v+r<1Ww*g4>x)pn5Yu48i_^I-(xfL z_Gvh^9hp>PX4X|C$gr(L37Zk^^5=QJb`_hyQE%{l3|MaK(0yZ zI2mEGAF1e!Jc#=m0X;44c^szmXMv3pLAETSvN%_w21T?YJC*zS0`QKi3d)dbtmaR1>(9Jp^?b+z4#0Jx8}KYau^cQ8 z2eD;aCKnuBPp#PfWGk{z*<^ae!h^kF#E35)-grBv1Wr8TY1GcNLr9~hi2(dn=Z;uh z+vB_T>{$>F7Ux~qyH5?eqrPFcuw42qAFHxBW)?M(dp34r*>wf@QQ@=KDzC(cYVg=M ztP!3OuPoZev!iCPKf3T$D12l0E905{kd3NYdt;D9CLD4Nx<*oqwxK_y&6Q^iWW6Kh z>K&CC;cFNXa4GX+QaVNyOpggL0n(S5J^!DiG`-`GE$`Dn6_d4scxYR+`jmL>wo_d# z+QlLVi{!zk!u=~gloD}Y;p^^SMSL+!OX(L8SxuRaYaQGSuk5`xj0;pQ8^0 z_ZM-B@wcs`b|MUae?>`QM1a*a;02qn!bC}^@l!ZV+0(~4z3Qm7e$0xm(q3t;)Nr9% zRRp00n5P1~vD5w3{%BJbe!e5TVEH_d<&|#S@u|_pw6B-|wvGg=Qx#Fi-y9t5u^3(* zxm}^Cu_M=(837T?u$B&%)fa`ey9p>5aon{$m}{)S;8JyXh5$4W;P2prP)j|bMY2~? zazu*4POFgARr=^2@+&DH!m&P8<%4>{i^-*okOu5hY~n<#lTcNl7MoSNvxstkaY7}m zMpi;bwQs&kWc>x*0gIZou%_p6RqQ|yw5#=4m#l6(%jjp|Dv0wkvlh#4tb8(m=Rwny zHRHnME#q{RzYa{El8?rd_dp=`J~4?+^zmL9AyEG&`-!QV%Du3#UkDZD!^b9bV4NgDJ2P z$ttRWw2nP_4u-!ZBl>c3mA5OHUgad3UcYGKHFOl}s-z_TQz@a>xn?SSk&jiYWN6(v zduWZnJW$=#z=2~mW^643a+k8v;zYpEG-qYwQB31z8`HC5vFlq#yc8;}vzBm!J+0)l zwF*^j#Wr%M3R4KZ4TZj`DRKh<0n`jmUgeLeC9*Os*J+JqO0R~kU&Uf8K9m$>%&=|L z*lbjlrg4WeYjudFo4B3|5~?Y~YG@E9e+egGnMUKDd|6dU=mZUx#@dA5i44t`elU?M z4b8?{?!d9y|2VECf?pnIpwn9U^D3qd*O_Y7z`zI*omh2jRS;U;t(4T(XTdAsDoiD& zf>|+uwng0qt`(64)I#Xd$4ZR!G2mihbqndM%t%@}538)!Tx+&>8x6b!L0tp<*fjq!CxSa`rBxW6!WUOIu-=I;JAy!m%%KdWX@ z&(?*g(euhA+)dQLuy;?_5^zrs!60UIs9a|hVJ~G15pLoM0E-P5^VuS0(fO!C~qTtIDpq1sY`C--PNl(?7)MogU4}!hZTqfm;7GQAAWd^H$L$s?> zl&eb6TMyE9?66PKrsFnrpK6{|wz61%rF}rzX)ulTY9DCB{tN;IPo}ErT>~w!*Ep++ zy&SK66}5npg4|Mxx+t`a?iuN~l?IP3yjF&zxryYeaO9eK-_6r0GUL#fQF|VAIv#0k zP7QuqHH*uo4qh4=wO1XQU^5U}J%I_zlyIOBHCr!b!vtXB?l7_#o^lPS>z$I?mya_h zUM<^bNHe+FrZ9#WSTmX!!&r&ai`BjRn29V;x}TUV!3XnuTIw? zfwMx<9@|G8!3UWO~YG!RLI37jzf1!mF zIwvoR&%)3<8HyF&Kr*mZLb zzkUp|BEyYVHfZZwpA$t0@fGWB6vF%&Db zdY3&6+;(tJHSFKOYW9-_mWoq}sSD*m6jhQ>xYipCmiM@rO{u~nMu?y?@!`|aNqQ<(56brvv5G~l z4_$N;D;>Ct`eIeFs5`c$Sy3JYC*a9ZvzUcsMa*j`Heq^GRVUqGKR4FRG*0R536SB? zv=}RFQa6-9K@WhOGL`@?{4aL1QHBSIuR$S3*9Za*GN)mpfnJz1EIDh%cvmE6kF!k> zvw=?FYD8K9EodItCSh2OWG@VNQ7zb+^j|;=a)tuOdX+r)s>{Oe0Q{i;i;>#c#6Dme zEPT)^S`gI&@8}3X>4>CJ&RX__9V-Bi-N+^iAo()RGQ$W&`PuX=f0pNV*7y|A0q6jA zj>HiSgt0@+d0a$AOcbYu0D*g>1)zzT3K(R<3}6z{fu1BQU?z%M#0>T*mWuulFXF=h zhzc>-Ar>ay$reILg-Bs}Qr=i+fD3vQhQQ(DQxMt`USO&eJdO}MoCx4UQ2pV9kd!(N z&XuVPny6=>`DibwJWvQJjTOac=0b~`sTn|YASw=tw#Y_f+OX?DBXJZwOd5&=42h*I z1fGe~;2vtUkPIB{4`jjs9N1Z~U*=2fmLUll$T(r(ikB>2Bm!C3R~7+nywxLs(SjRM zJEFIm6_9wJYgRKq7FEs- zB|fVtz#*)ECzp2U8kS>r2VqCoy=_!WV8eDNRXjtC@Z6PwS53ZKdtg^;mLt0e|byk`PP2~n=<;r&cF-uq|!ef%vjI9ikcqSWbkI@R}W1+}l zn0yRB7X6dg{p&hN(myYm_R4I=e6TVa2?nz6yy~F@?MN7&Bjqt|)p=hsfh^W8X$xsY zhIPbNJOU?`V>Yi1XTp-&*0hqgx(lo=MDV1?mvygY>*$N6oafgRfLrwfkdi(K)HpSj z2!VzGM71_pmnhD1AcIG!3P zXez+ATvd{*^A!z%I4}{g%pqH7S+_#HmZx>A6~e3Nwa{c5VXd*&IIe|e)_QQJwWt8L z8B9V!t&KHZ7+M9awjm=RQ~}@1UbXkLci6SgSGX_+Pn*qFYo8z(fOTwJAOPIf!o>}7 z*kQ3er~Yi>pDBciq7Ub92??CG+CE{Or2uRTzQ8;HLRb+P0M3pW8N5dC#BG>%Ee%Rn z1CKpH!Ya|^a!gKvu16AW;0_-pLex`rQiKde)`?vcNJHEQdW#NhLl4Wrkg;ztZa;=*jyKs3p!|n@Z2Ppp z?i$L2bOqyf33X!^Is~v`liY|O{M)r&6uGf=8yp3 zA`W6jcsqdmp%St&xn$K>0yaZnxW#^nHFp%d9VO_kbK@HTQ@Gxp5c&z%ljj}{)}eMV zFW@k@%m&fj0U&e7rh`S!*jhBb)@vkxwFYtp7F~~SP1ZlT@T+Hu3@?l?rCu%ux1yF0 z6d7js_Mc`tmn3vXr8|Wi{XMhrdcMVL>17dqb`SZk>4LPEcTzjpG?!co6dbcsP6WDe zFtNakwMQUhQ}<~kd0n%FFCPdELMYR+0{F_r0vs-o;)m;e6-xAKF+`4K%ca)pfU6}428=Nk!w(do#n%jQtd{zc)5p>mHTlz6~a4=6t1HRULm#5jD;RPBllEoQNZfLWbIhbiq3jt6oep@wPONg{Jg=@uM)Q<%3NZ+GZ8v&^_4xd6j^^V*NdsYyFW#8D=q2T3v6*Yq5NhBqMOU~q^@>Li?;uwSVa|^r@&I_v# z?>JBxLuC~NWoTV2RZ$y^OsdG+26AIXXLnqXAl6Rmr!G1We6Kj8Qq{L;q^z8YGo==J zcu5?`*Jxr&Hd6)%4UF!O_MFSS=+%sCO%oGkU)K1rsnbQC42e@X8PmOtvMx`6qRy-; z6qIDh)iqHif-+iN%|h&L1ETxEJRXYFAi89~6K_{G&3Zh9;z7!qinfRm;`6b^inx>V z8WvHpu$N~LAy3ArpZt`*b&+eKuawKS!Q90&F0^uA3CvlEokwI6+ytQQW=CcGJ=a$jz`xn+TN>?`#d>HS{C3o;E@fGdEmR{>Df zc(U+HT)kPe9$GDRU)kjA76nDcv*R_UC;~^wp<+<>qK%ThU7uA6ak5_Wc@%?HP@9{H zGEyqnF($|4m13cG8l~Q>ZLfC?AA2|~K3tn%jOwc*5(;3v7Rk|2?0a(sqAVl9MF0g* zONG?FE1aThmGK(aOv!sB+cG|R@k;1GDb#rO5i5#S^16G!khh)jY;(4=JMonSIYqI& z_|w+w#mo7=&&X_}2q4fZF2rVSm({FUwBV z8$MI@u2FTpZd6_G&KKyru~YV*2JIU*lW#|xaUg>@Bnnt{+qTP+q_1e_c-Hha0{D8` zwxU&V$Dm*Z76aSV#K8VjG%!#uERF{@yt#^vaVMnUpzR{eV~zV>Er4nb1`yc6JfX!k zZ6>u7fIb=9AIAYjP^K%{=bgOfdOSg|bjjuk*1_R5G&B_c32}STLbu0@a9Kd&Q0YpW zs(b`c^5AO~6o*~)`Gxr2bI!kbrb~~x@yR`q7FM02T>&jX1y<0?e|_&Rs9KKm-F{XA zk$YlfOAKrqhc~K%QJkxnxqGe5*BU9GdYsiiouYR~X83DhwJn;ey03p|8g5rP>2>o` z(=NTW4{w+3iZCa;>h$`03B7%?-Y=VLFy}%H{=U?_kVpayUG7)dD-vAE5r3z=$lp}& z@^`qiCQGiK=_VX`!WB<#?zkp;#YlaBF&}9%eCGJ-mxkcYe?iE;zr7e5td~d5_zbLt z<#S^<*1yRi54B;lMqU28QJ23vUjuN_7Xi#q;HesT#vGstOsRZktm+%<3ewI9fUg?j zzzATz@qr!vqI(CZFR@*!(i6`(M|)2y2El_5)ac{fSFCpKZC74DYN%{d`Qx^Bnc-$qY`>ZW0u;zto!V-;~=#cE+$HDl5}2t3;dmG3B!mO96J zeB7oV=C?)IqP#+ibC-NR(^{315Zsr^HTj$>C4G%tO0K5Mk{iUr=UmH05nu>4?@=qgg!1_{ituoZdRIDY?xPKqaE^+c6tf-o_TYQ{cy>S4LPzM8)=n zHe0G}%-JBx&hR%}?3Tsy{%xfmGs(M*6kLk)V~W) z7Pc-NeVSNm5m{#w%MySORqGSqMg0b^I$9>UV<7*EzAEcHinh{F0Lg*IS_mMu6}t(9 zc>pUAAIFemO$`K@0!Eb*tcC#ifuZgi_{AnVO*9zT*rILGQKdL?4|J_u=J9W@r&3vi z8bCM)7ISFfI2>K9{ak?X4R{>I2JXn#@o*n|thPlGz|v!~i^9$>^@*W7z-AjQ5Y`^7 zN>U!jH{iWEqZbS-*){E|5(mDjNk+1&so+&=;U#n=87Y+LNTOcYGhWKgAP+AaS&~Z0 z87SCO5Eeh%h72XmdIU>LVslW$+Hhpe`Xp15mSIw1_N<0R?M(t!&4QA^QIjZoSXs6R zMzOBfY6h-FCc%Y*e1g4*GwuLVXW%-T@G10p86L9?7js#$-O!?`R+LHlbR2uCiNKWA zQ)ZdnH!%qGih?#?_oX{Q&fsPsGuSKn4{u0i6H{G1!D@6t+#Vi|vc-I*kzj?XC2$c8 zbi7cE2A6Emh#kOZ5T1+W9H&As@du;QQYrT!_LwhN|2|4fY(6|Y0&J4JwTv4Q=ac3f zKf4QsJ$GgKv&-im5Vfq@%P-`zs5_i0LqSmCWZruUBnnb-Ow;>CWakk5h-~gu(n)7_e-1>py!T>#eDc&eJ-!-mKiRM!?O39 za*RqUPoz`R`3!b-`sw(&Bgmzo=ySU0y@OVDi7~u8U2@Exm{(HM1258pcfjfaA89sN zwriOhJ`#0NrAD%*?hz~b)eqgXpdD3%lpIfS`q&~q-F_}KssD)EAZ zCuXZt&1>UQ(z>|=i}G}vo|wCTFdCRh0D{Dk_SGbVQQ{wIGh%U*C+v)7=~l%!!G-DS zlP7pZC<2I!YsJ{lDbLoCdvH3fG!+wr0HNWE?LN2-Tv7E0--{t(cj5Xds=&s$YFrKT zgHa#g#(3=rl|jrXCO2}2-*WvDC9(R`Rf-_i0mEDJSk9NOV75{L=p#lD+Z`3ecj86x ztkq^|A*Lqo5!Z9;Vc94L@h^9*gzR>Vf1@Ace`TInn#W-fu%CXD0V_h$O$tjH_FUOxR_;=+=GZ%PU#wca2j-atzaV=_(vq z^HA+IXH)*z9T0)rk$FN1r61Qm(D>c^8gg}qKe*b()~t7tItZa^z;3|t8H*wXLNIDg zt<)lV7p;RjX|RUk(Q63n|0R(OVLP@|@ICb!TBnQ81bc~ht5bGnT6lsw-IGrW$k2M7 zPBDS1C`4FtwG@&GSE^UJdn@jhrsm>IU#bxTiNVY8OJ=gkLQDFvIU+v^?I2I#a{~2O0(F|&%o!>a2hDg2hLvoq#>~6p z{nVOpsF-tU%o@0I%&^C6M;)#eKz3F9wa-~PgKGEBC)}v>5xNb0!o^e-rCim0hnls= zK*2;32OamvXtB>MQ?q$V>Qv1#9dU`1+0QKJjL-*+#pv?HM5OZ0;%_)~TNn_YY#u$g z1|$vxP~$JjS@}vbxQDr;`;I#?6!K=(V{Ljo2tJRcLd7YE_jb@ERUs>n-LRPw=q~`R zqW7u`7@Hbh1nZmUapd4((B_@O4M@FOYvVP+WLI|pIU4Le%m9?UyBJ=a_FH~#iMNm(^9{$ww=?_PdT=Gv8XzUh ziLXzz(h{kga%OxWh8dG`K*pEIr3woAi#GkY*hdb2p^g=&9p$lN;V&ag(^r*DhYC z8VAB{W#n7(nE+rKDJ^htk;k^f56+3D{6#Aq{oG!Ok}+c+rsMHDWSAj_GKM-q)I@Z}(ddc3?G1H^5e35tC<4J)`_n zj-HLVy&GU_ho^2S5&KGrc!)0Q62?C-9HE9ju z_QyQA^(CX(hmn+>qETNCFj!@#OaUtr_H0FwMNvkmOs@JDyUBz>M#n0|qnIc1btGoy z9ji!p3{B-#MOwqATHHqCgsG23XMa^#a;-Eftce6=ijxhiBx1TME!lW6O zIj1D+(Z5-I7-9_@G08?$_imJ|as1Uy{WcZqyOHC(>sDZa?2OolwN>8-A-jtj7pc;CSYtM)OxOg>BiEI|KM z8^t8f=(baPgiHsEDwDt|*H}d8%C6KKvqZL35&7=XMn)#QmzBuxz8NR<_}Ymvg9Hy2 z-Le?u2`NF(XZp>$EYoD?sc%Ej#8~&MJU5)zB~2f};7Z%)wY3xK-Q%Uqk4G<`%L_7N zbTvCnh7m7|J1p+Kzc6ZNSUf<(A5u@ji7Hk9@TYWLOakta>Sd=;s)Nc`Om=)_!xCAL zT#cmQ)qNG}Vj;F!--rDi)7~xtBwyX6`dW(qC_mzG#3eY#J8}kq{hsZ2!5s2n!%z9P% zDT*)~JX?O0nW&PjNa%$IdHJ5x{b&Hq}E@nnyx_Dz= zs!HZi$Sb878u*4+(rw_(8WCs7yF?c2EWi^ zL7(^}$?UL*mQ0E%hctlD!L=mJRTSJ!AU{T200& zJJy-&%rnUIa@b)WQE_{MycJzpNKn4DP^bpAF}p!XWNNT*85iu}T?ZovufYW2@YszB zH@iW;7mOfjP^M z9YRR6`vXLnBs}Fo>VkjX;)b%5&*c21^a_Ar>&yFI@nFi?d>3QhOzM09mPG=XGkNf3 zOG1l4UWpH0?XoKeN{r`q;XxwTk^f`Fv`5&u0G5`({Mc4n#a@4ddR?NKaNw^bZ@nIU zc(KOe6@d}5iu?@<=EtJdp+9bjn4X@qSIO07o zTjmN@$3No5GrUkVYySXWg+OqN;T}@7`BUdeZ8BG>ueic^}V?1o%B(My-v}BP( z3Ug($N-?<7dpWhM!-^Ye%Tg$@9IK4DIL!ee`Xsk`el_pJ-2u~{c3Cmubc;rS+=ebWu5du8R zGJSZT*Td&}6C5FT=BvClbHTghSIu0~+ISZZ^GcpEwl#y#6V@71g zb2{^V_7Qs|3oq}_(A>;3w9(W`3~IqaL5ZPT~uoxXCMV zbNTR$j3f?I?ij3LM~R(iT0c>mQ^y6gzIEN0?|?wZBqh*)R>~$`wRa&t7N3a3Dv#tc zj0s~*1gtw#T$Ph`7a2jSaFH#W%#46`W{y3UiDEVEZ6pub@J_m6MmvAz?*m0x%IR z-E3em##lrV=QWOecWI!UsL*o9l6HRHHPgV?`L$*`d?|--x1$2c)oM{b^=9K}_AWUD z$$^m~0)g0-zM0_QEr?y(DVI=PNz;M7Fao5(iUQTHK<7JJ_*DZ4xQVym(S$zC*Eu?b zd`vh;YieRY^H5C(V=|vPV|#X85ikkcE=S)Xa>iy3x8j{_2L+g^7t48 z3O$HA$B-m%P!&PZ>b6!vmVw8Fn$T{c0_fgWob3eR+xKqUhfINIJP8s&KfB^Un3h&j zpl0Sg4V!{Jlrs+9=NZ=e+2va^y^iIu_rL9onJmQ#=d(ORe5+S0MI$8{_Ks+v%t^o5 zu5@oYS6iM4T96Z}tPr7`xH43FDeDmX;#_S0TLTNu zl;5@5RT50s7Pm0u_CS7PvSOIUP>P{Hakn#%7OIInv=lTEjHS(fJ89?_m^WYHF$^Ep z_a1b0zPb`yIRq9ty%XA{nQ%)+7x4r3YgS_WHe6tJ#-9O@TEw?0tyo@##eQ93Q>=5Z zu*Vc88|F;s3R#Fpg_lMZ8mzU|4L`RkBv+DX`#^O}&l0)4_m*EFjw{>KG~B|J#WQr* ziU?VYx2t7Wf##*yJ?RV#&32mQlaOLQ@SVDX)?vzIM~daV6TbLh_$3kb% zO*t`eH)`~Rg(D4=AifGKa1u=sDCh-CIAdnNV?bppR~w6|se#$(PCFNh(^thYf>pT8 zI%ONm1f$j4U6riDJhcsQP6W5l0?b%mVKlw^#reiwY(t7(I=7u2MLSK`=tqn73}xOL zOIZhzGv^kbE$1$=2z`aeK^T@>>m@CNu7ja;T&0DL*m4otcKvfEkl7J&=wIDIb3z@E zd$|tp7|=$;*7H-iGz~}WU1V@s)YHrjD-mE>DNV*A#BPQY=~m$@aqnj9B06Sb$V|CN zTLUq@YqUy@7}+qL=o;{jd+ijCS$Z$DKg%pfFFi7IDWT!^sH@b&3pt){Ge6Zf^tgMi%$Yo;#{ zGlk7_=LOd)BWyM|s&1Z3AwWzqxm~;5s2A!!?bDzE@m61~K9#8cb_DFsa#jb#UzZPp zYjyDBrr|2p!-*_xw~Sc3kG&Nn!uXfuhgVn{xGY1c{vC~8FvGi&#%FMI&ncR|S)Ho= zdxcEVfSNBOd3M|`vZfHzMC1z~Yf20)Ca{#mw0?D3;_7f^67%J38%~_QhO!C56+x$( zI?5A;a>mPWZ0o-`?4d58N~l9LalL?-MCe*X&%sj-y9ztW2LCr?4kCa%k1u7ec4%T$ zu+%2%q?>6`)7SNZMCe_M1ExjsN!ucSwap7?9XKe5XeFqv_9|Y60;o+pwU#Epkk)3jsYU#{^{Eh#(0^DLPL77@J2Ej-GlmME8_1ybt5Fnp>ADyx^ zhry-^~za#xJ9I1MKJWSoce!5!bVTnSqlE5Re&U z=5y7bD>;reTEJ@EE9n)CiQC05fCMjj-0#4j8>y^w}CxR_Ll>!nVG+O zn5CMQ20sAFX&iQNZ8U2vk9Y&4GhS=laj*h$KjwPTL7SG2gNtD3s4UQP;48fy8#bC# z_NK}8(PeI&bxwf~wyoh)Cw#NO=bz3YqDya~al%C}Iu27<7=u>zGx>UAg5b6ESsBB3 z6&s~T+nEDcu$OcP(}Nd;;P$Kyr;6!ypbQ$vCevJ?hVc%+cQUW#)UU3D%I&r8cL;lz z>*lfHx^~@)PSl;Kl?1s0Wc9Xr5a_Lm+|^has5~q!)&xgYfP&`gab;qar>5P3@cJy# zEh1w1u#T0MLL3_kzz1Lh0EDGSPlBs!t3&yu6q_&{@cBD)hw}j@>VS9o19Y|}8c22AV!5ZE_5KWj`>1t04VpJ;Z=B4=jsQd?lvdJgUY5?Y+=9}| zAtumb)z6mKj7#e0T9|`+la}l~uy3BdE~^6s<6c)~Ok5EfymWF$ysr&YC8+d-How+3 zVJ1~c*Qv{5?aqT=lLG5gN$j2j^C*pQ1UnXwU8|J5f*IpGB3jJ?xcI)7z1U^yFt!jt z4o%w5)dd!=LQL%MrBjXi-g2t3Jj*EEyg(CDyeNz;*rmqeDt0 z=9?Pq{WW`&NkEj1O_yUF2i`RcH7hUj%q&XV9`}fr-|B?qOl4JNWg+90=*9O%KL%2bQ}qiddB ztdrN3F)+3wI}*4DRU_UV(b!OI$2EkEm)%hQWoya$npte@-WJ=K?aq^9JG$J4qZe;B zvKYR|j7Vbh%6KW>Y>DY6`!LBs%+T> zb8K|vY{$Ozsh9_g*iy_Qh>Sa@2udc9iW6QICVh>!XkMzx;yc5=kX$GLT2=vzt@)O# zThm2JHPgkuH3!yiZ5$9=8)7q|65V8R3}tKZ1s0#Avjf!yYH%scQe0fTr1Z@b7d^RlM?-Mo9lDdowi33*$Zp)iPPPl%w9a|7g-3}5 z14|xo4@$*7L(g`7in4vRyhl3$l}H#S({}w+s8$}5Y*VVw)RyYPD)9N*)cgFIIa#*6 zN6!gI8Md&>^@4rMGZ|W{ZNr5=ns6N+!+iZaDr&av4fVoCtgSl8t6s0+)zj@0HM6>H zfuG?}t0dug(w!C)IHKgKo-VN{w%58vy6R<3Hab=7(jEL5PqOi{bJoT6B0T9-RrYh; zcBgB?hI3nmBI6}UTRAXaP-JH*#xRRrLxX*Iv^3Y^N^s#U7aF*ljOW}b?R9gubA{_L z(C0bp7pt$(q~x#$tT0gh$V!wcrZ&k0Ix|jf(pm&}-)V3&0XQgRt^VNbuub`@gOzm! zh5}DFcOy4rzwHKQaJQk=2LSGh05vRsMYN`CdXcMrhoz1RnP) zILV#loPI8)0pF2g;3j*1Dc4!^n)cc1(5c&hw_ zI=@_A0Oifp%POXvqy-wy{UOWyBPL{edH<~gec9{6HR2C(Z67EQ%1|tu zk866TC^tvUkyU4JuA`%b>%lTMlO?=&eJuM98@Mmh5LkYjqsqJvj1rE4nTWD#u@9>i zT`LSaKS#aZLIALLeafe8ClGTg&%Bls%Y5!2*JQ2peh{dUKLaf9NHL&~C~%yR{W!f)$z*w#5@*GpRs4y}7GD9^@j3;HxAOMm=XP32m$n{np~%$-3v z?;ZJzS+fnH5pOvGUh~R>+-p^u@+d)rh~O48n|C|f0fm@)lNr2I06I2N+EY5Qq%%m0 zf!~Ag#XJId#68!mnOo^-3ahlYmFM4(s_e zI~vJ=?>g!tvroOJ*_L*@0e(DcOJ-onWyd@w4p@f4mETr^2TL`a{j|2o_H(_Lg*>)j z#Sbyy%v4WSPB#fg0GFMSYaF~+ByfHPjsRAGbMOUgS3p`MN>BVm;MXRF;Q%lOuJk)> z;=sLv9JIdy416Bk{{~E(_Rtg_;!WugJRc02N`WIw+1BiD$2Z8DHhL~4agVHfkX7)U zH{Lqs?J(7V&WMWw_ZSUmQ9HxRIlI?-tS6R})D^Dxl*P>Dg@U`SMR@hxM9>{IskSW6 z=gPr{0Poe9HutJ1!;;=f((NLccQ96`*?XgHyQGAEwAW$c*39CUv$JS!mFBsN-@1d? zAll-Fu4Wn_CBm(RxWn%{-Fx*VhVudoFSJ~HJI*w*FZtaE+DpYTjxmF*J%^oTYLdEk zZNkm=u+S|6(jxAJseoV(mWe!igJtbrmz*&X9EP58_?Jbg!)2K&8yprZB)%08zRA{u z%$|20lrsqQ)`GjOY2NVfLF~=@>V50XdVfU^*SPLmL7+evp4y#D!iwvBkn835!ZZ3E z1-BF2zM~OGVn$k$So8~ZK8COGAm0u|$+r^H4L-Jhhu3qlnUUhPwc-h`{Z!5>mFTkxcU4Os9!^Db#ytSa1o$HJ!6oUq9rrJHvF~T^6 z3ZU#)oqLQYtkaar3JK~smDbOY)VhARoGIV$FirX%-kpkOWDV^!`-n2Ip!K0T`ucekJo#|So9TH zglF5ZI}8>iiw8rOA?;b4zdwjUYp8WB z_Kwh8mSI;Wx8Zsk!Xr)8;|=YLH&!CPrO%gmSso1VClzPUPH@QG?LB(1C^=z>+HshM zivxr1kI(qe!04UwwtUAo7~mM(Pos*>LmUC`j1vLbK#OaM?RR>T^t0xZ+2=IIpX_y< zKbzypa9xopIf+@2za^&~tZgxv-hURREwY?5Z|tXa=J*1zE#_PMwX;or>wKMrgHrVCTJy=NAxw*>84^i5lq1*gVT zqyJlr(3i=@Md0RhshF9M2XK`ug=mED1WqdYAS#*VAxVRCLx`?d`Qhh`TLFVGCrW*EPoSZJO2-zO!-N z<(N!4pUj|&75`li9%k}=TO?eQt;?1f0i>e!Os{vTsgD+ zgh*)>tCeOir3*NCAQ{gIQ1kiAH9rNfJvpfr2g?aiSDOy3mQ&5bjEp~XWs1e%3l6^S@ zBi-sOcjeVx`@S0OUHPj#H2W7v6+eWXi`CC^D|L~Y>c^(OzALb)*@mCp!JJRfQ`2j2 z$ya2QE2Rhu>_Y5W#deCxTBTP=kE%*iBzsx*;eo|F%M{;$VsEa&XhL3^c9+v|gzxQg zcus6S1_zr?2!Xlv<3bOM*htf|$@(QF%!s-D)k>;U>yO<^%Jj zW|`*Mkf27xnoRNL^KuAApOev@uPBxe!e!>}@_Qa{E~csu|8=lw&;lT)-qJN;Uv4`} zQ|8T2kpZpHxzgcMp3Ei`><%nnr7*~t!j61$v!iv%uF#AZp4hoFn8TPw2;1R+X9VN& zBx%JGO1C=*Pl8p1<_E^=c|=SsC|9<=D9ZGFy8>5u1TK8bI7V=DGuqpHvLc?!G{0Tv z6E{=1yXL}XJ)~>a-IxGP`3}oG-h1N}!AOswy=2~Zc)2-=mp+1-rsf_XmwX@;c zV}kakHU-B#CUd{C)^c@W`JM|nfi5SzdG4!agO}<(Uzd;1Wv?D@d$*6R2!o3sNqN~# z$9&bQtKG$IwjOtn>#Urq&VdQJ_uK}uOKd*YF8Z`KmoC5db-`}IXkq#URf&A|ew zEP&Rr5KS#OFe%?ef!?g)T+SJ%Hi0+f=6AiroP~nbv9c1qX|7fD9CE(Bek1bX)G@e$ zLA1sUlF9Tn&@vU(XjOWyum;NhfkBgI^uP4;QY_0LTv*$sf3kosu~~vU6bTz^%gWEI zW&cGZ>Jty_%zPI3x$WH4@iKe2oO(@0r#9vsyVTUf$IkAy+8$aT$6W_Q^U2MV)4{I5 zgUggKH?FBez+RN@v73{={HAF&o^7Tsz%z3r6erzB6NDMb zW_zae1)SM#(lM5vOgpj91hYLgHNk35J%S!ErmMLIQ}Yrf>CmbL$pb43g7Z5*ABQRt z>n5ng+Ub$GKjFF3FX_2r#q_@}!nz}r>9O<^rz^cjzypwUNYc-H+jNZDi&&kMroN=> z(&J@!?;=5mHIn%X%cg6z0O(91R3@RY!#TzJrUQQKXie;+Fu-yFM=`5MHwffd=k$_k zKs^e5=_BOWmb98QL4FLp!1MAskr$7bDavWjUiNCdT|aq6R*x! z>=+Ew;UHu>Ay|NwO@Ar7?qtKQ?*(*7J(X_g=H&IUzUjP2WI8-O+DA(Nbf?Me=``_^ zs<%c22YcE3+K1~|+PG>2>al?p^Navr!PIe<>wP+?Gln8k*AtdM@?c8!CKf!Cp9e#^ zC;!xip@6v=RlbR+-mo#MBh!%T-t=a{UGjNpz+^j@Odsky^+nWEJ(=!yPRW)*2kPr> zVH{MwHb)kA7HZTH11fc39t?<+@GwB6uhh=U(Sg__d5bMLRC1|_0J7 zHSU6B+i2;ppx+jfq^?v?SevP{+$n<>K-J0lBE}*L@Fs~IK7u-&=qh)I$OL8@ggpZX zOQaSeJ$DVvykfy7#er8aRnz?zLN=z(CY&WSY)moLUoIVL5WAfn|ce>=C&Z zP_L>^)}iXm^g!F6ub$p4Fs{4Rlj_O!YD{L(o9D!f6?&U>wi2`eIwM`6dch5chSewO zTy#vrY8{hqP&b5QgtP0E&`!5B7_HIf1Nu2i(duR1h`OuNr{0tUkWkmuSH<;ZI!yan zkQ2U}O9$5KQOj>62Q{i5n=TIY)i3EaswCqe%S7c)w+m**yTTHmHG+_=ryfr~tRJ-8 z*=0~+-B&;c$zgYh#?vJoCaV8nMooaFVVBv;Aln}3^+JQ4z&K!E%U{K^>6E{86}!(= zB%2Va!et6?fn+39+EbmLwnj+8dJ>uGl68GLGrf|vO(&>>TV=}P^-DT{(w=V5BJ8yQ zL{~5MX2EA?t-d>#uwyJ^?A6Uucrx1jS0t}Q9LXJGPemp6a_7SJDCKvps;h?(N9ni6 z`qnrst`HNo^|?Y0NUuAb>BKdovqJ&O<~yHqxW{@5KFVZIzs)N%4qgV8B@UKRQtC{W%jLXwsncVyH~ z$ji`N5(x}Yoofa|s_vW&_0QUzyad;@rHyy?mvwFjak%~aJMpcb1-M0i_dSxpU^v&b zZ$RUpuyGG@{yI^~^Y0k&dc_h z;n=p-j<8%&-eruPV%bKX2I6836 z?yT8Sc#dgP0pXj>U78gK-hN%};=&ITy?uNtXG~e++d+eW^ZwDcCWkt-OGX-yG$4>9D=E~Sa&TRGmwM=OUdVgNPt6~4*5_~uoen97yB&X z4LoHM#B6gmlIo}3>8m&0sLmaph3D&((4|OXyQU%&*T>CiebWUm&dQOZw^FY;loaoXV74LUixpPmPn^NByXh_2PyGFjrdYX z7z(d7$%Woo1Tqd8fpGf?Hb?qnQ`36eY-u;C44sgHDn}s0#AUf5O?mTgTTwn!r$IM3 zdBujNky{H5#%RhqE_%>K)F#eu;3pBYEaHlrwoI$0e^BN~mO5{V(`w69y=11j_j>j2 zeZ$ur$5)%EQ|xj&Y0;}G@b)| z?}GMiFV1euQu5X9kL^3@?~}$x;N*iKBj-{NUodcRN9U>J9Kg-#wYHO zSdSlCpxrD*Z^7eXMRiK`>*wI+c$l*$i=~xF@NCt6_#`)=E|14X(Bs>R>Y3b+J01it ziYM&d>tO22ZYlsp+f^H5=fiyAkqmaAubHdH;TzUvF4j1Q-J-P9yPNs57;8Sw1|N7> zfldx%)|HiO1=ORJ82#TUY@6Do`nM>VTPuESpN|)%e|+kUz`#A5$)^`^c5rhmA>91( z?tj(1iyNzK^=Z#L({3w(L{-=+QCTgRysa0z)2=lUM&MX10Gp+9<27LelV?3&mIR)* zplQT(R($f9Cn}q)SOJ7~fjVvjSl%lywDa##<%7||ud{%FJTZRJrp$)FM~IkN%q+1y zP8f_19WH|}e?)@7msmc(bLrZZ)8)&bj%tnW z3ChCk*kY=Rwup7*^mR}6@yCbOPQ@oQ^6x&o?4I5CMaZKZDp@Qn}8i#xI@|u?^{^b;jHTcr`Xx=Z6zzIfg z0?(JbxMk+%yEiY4Ud*BQTFtr(=Dcf<Pe-D{?^)||AR_PF>@Pr75(ITL=VB0 z(bGEf6=eaZ3Ov%JYC+b=AHi1L*zM2_XLQSCTC{^6!9?Eey?^Hr&=^mUH^Lv5&48A& z*yS>wj>zgp{%PZ0x=rc(AADP)9qIB?PNmDG{a8YIu(J*aw=LQsn?hG<<=rSI zQ%0mWQQJW=jqigF-SefD_Q0K7OSh41e%(+!gnoCd60g&RyF(!wFExS-6(5Twz6XWi zhooJlfEvoTus7;f#RIxXf*AYdweG!Ma)1_gG}0Q+w~?zuhWuW?N35Jj-!bbdc$#Pu zsywn$S-(d4;@!WF{<(1tK(A9T^Sb9J$)Lt(x(+U}h+)Jum>+5H7wEEAL-ojqjjuJ9 zy8Yaz^OYQeUd;XVqx+e%Tt#yBw37+DahMr~)InB7demiruKGWrBAWj5A z<$FzkwV&Ag>xCW3Ztrug`$WBpPudp*^{%&gCbUY=(AfqhJ(ssx>G!-oo*h(h4;ueB z3cz38*KRN@Qyg=zCxoQ`K1b*ldh+b=Z*{(=|7YIn*g!pds;snw@(S=vcEbbR2cYrT zJ-;J#{{%qSiv2Y-Ic#os8FGHYqv_B-nvs-DwI;*zAxWoW@pYhbLPm*?9A@Y)tl|E z&rVL#N}i1#Y3Tk?hPui8e*6y2_^R_I^>zPa_YKwXTz$Q>EqYVV7QN8=xq0B@LO5yS z_z%7Qjyp?AZgx;Ye*OJgm;605^4;?1H#SI5h6LeqHC??(-tJ#+y;$qk_qf&{A7)Q- z?YlUF|L^ecROtSkX}^cz#c8#FEL*@L#CTWilbh2Xw}GpZI~uO1^l!dexk+XD8a{P0 zJ~_Q??aR!qGx$d<1KL9^;!STlOEb;4ZKwYm@DQxFdupG1-LBP)+X&f6UcV~1N$VN(-4s-6{a~wR-?b|Hw(osPAip=i|ZU zk%)ES#xp7Fiqf{+n^hfrN4fbrmeHTKSVxo-|Yvp{Hyz4U)e{Th|~-IwWf7t$p2QncwV-@q;id07WI}NN-4zKYaPWkfDrS0JK zjyWA_;^kP(rW-)8ehT%Uxl?}<&kYP+&bVo&xW7(S$W$+)eR`2Vd|CX$S7ZMi2W&m% z$nW{E`NZ3<*=utVjFfffo)OMjk8Cbp=V$RNKfmE6)n^HL@$CzFw3 znmf=Lp#Cf@lNa{w&6PB2s(Z|o*7c61%xO)Z-+OKH8_=Da_LD{Sli#O)b6EHE1iKPz z{a37dH`NqWEg)7rQLNZ)#N@W$bI+mGMApZ~;W&2ir~onopj2k!ur zbIPX;8diR@3D@cG8Z5pY8_9h4Z@ccH#aGYe2bxSw`xYAF$`(f!A0$_w!Tz1Q?l2iz z$?EAiQ*BKNBL9w9NJKsy+FbPLM$P=}?nE**enZR=1^r=I>-p)GsM+Ju=j*37<=yO= zIy38|E1*V#rA5c49w&c%(Y$V+&3dPiGhTo2G4!7PW8M5D^#d(s{73H-4c)&#sJdqs z4*Z?%I-dO4>>d2J-OghO+$QGcWBbqiego}EuU2PAjrm^KVmEao@Y6SgViotPk{WiJ z{_*+rhe_WJQ&iPomyf5CZ@U^3e%&4__&I&*ZprE4*B=ASf6Y8tq^{;|D=CZm@6DH> zUoYlQt=AcsEIDhS*!)G?Zfd^xtQY3y*ZxB9a4PH2Rm|DA_b)v5jtJvEKik=4FkJ}d z@6SFxaS(ZbX2tZSsKCGf&^~nq-+7xZJWcV^y$RYZ8b5O{d%69C)73c9*`sGqXKlI4$HaX|awJ*!XgKW_oG7Px)V$@iX1M$-Yysubk)p z4y2a;O%6M`==FUp?MOFM{pTN-E)Du>;NL~Hd!L2Nt3iH_^Snyn8bn zS2VNq*2Z_C8^>?jy{FIOe>*L(e;~#O?RJgd*?#8iL*9HdZJO3GyshCw!*1i>O3{YD z9Z7A&+n{%gZ>xWQ|KoF7uTb^v#PEA)YVYsGKYRZo7k5l8fS-~-?*84k5P0?iGadJE z+M@k?+|LyYf9scw=hxn+zr%c}nE&>WP4gc=7M6CR;>7=c{y4I5k6K7SzIZZO?RChj zU!I9YVE%M=F%kK4KQ$4&O5LmFFy3qTs}brw@nL@8&#Oug(``TTz?bohf98As=%saz z2afv8kn3Vs&n+wz{Jl3ZFm8Pk@9?W{>6Jfrig~{-og2Xg`CAJw85!cQ{=bbU3H(%kGW0+73fYTWINsccR}sE7`#9 ze>FZm441m~k{8!5UXg2G`nvzu&p+OOzy1B>gK`oG&)K~R`;PqS{rf_O@3nvErmx@Z z{~h*gdzLr--)9?#A3^iH@9FbJu-|q5*fB-A@-cZjNN4%t%LNPY>()Cr=EIui|H6mt z(Vo+8j<0N-#r*yGcYI;>X!*qlQ&ov92#T$#&^vlJ+liybm5sM9r*XbV@U;Xm|`b=6p@-t`g18tP{jaEg!N42K? zN1vwNi{rN`)s<_G7An{9>F=zx8SCiLfDb^UAGI+n<zDI*$)wAW@_*G;vz zW6YMBmFn0!Fq|1Gx-(-3ef`?a{&F~F&e%y;u6aWZr@F$FvWi@2=V?ZaQTlPZ58a-Y z1stRQq=zsz)1rX_Vi29e&_lY?{25~G6Z$zTCH4b7n;uIKV#L!8^+Fj3Xq)|8v=bPc z{a4sMp~f>V(>MFyz<#C=P-o%P4>F38#yDjg$;czVp`E5bqBqb}slJRXV6o^3t+&m@ zbF$$u?S5DgP{vqFZA3OPPSM!Z$uMtn1EZ7CKxf6O$1^Eq;2AHoIE{Ms@WHlWD<_|j zm8aUbMUGLAkdGEx5-LMawYxDa3G3*V1UY@KzL#NIa6eGSSY4iK4w0&VTd7tWwL{@6;9hS)-g6RoEUP(8rR(nXIv`1glb9n zNIgb7MqTc^!Y+i4hba~@zS7UY@n)Km5y%KJTl2S;(voQfKBd4-r*FE9L@S z$1t2(!HlCiFl+OpnN3VAbC`IJ53^DI} zPgBX5YkF&#V%qA!%``FwV6vIvj6KW)aC(KE8Q~z4jM+?!F^!-bFae!o%wx`hkq4N& znK6h{%uyzdd6sEqe1ImXbH+{3#;7I*sGv<$`+^%V_sIaAnZi6kKiP4GIY1l53{!70 zwQ1TGDF|!oeea#jE||)F@6ELP-usysn0sl%%yV$9_r1?E4>DgfKQq@dkI~LEuEM-N zVcujugDEx!aAA7Ofm}uhGnM{`d7U}We9F89x4EXspSB5H&)CNdq+N<6F}10twq&Ls z^S-tT%ZO#jI<7@#cG1_lrZ!Cpd&MEm&qOOO`v!nB~E;UT6h64EZdQR;vA|7aPX>iv3L+TOwuU66#o%bS?|E7GkMj z=&>cktP#ANwT`8qPG_aS9IOKeSdVRXu?AUN($=xm==sf48Hfj#BhBd)D&iWtgBx{sKV|B0`EaFLjnJ=iLlv`Ydtv2nhCn2ITL@E^_zw0B56sPb4;fiO*ox~ zf6QRhUUgPileF^bXDD3HSDi0enRJ7$2;ea+-Sc!us)NM{p3A(?vg~@tvhGS|-g2<* zI%H$t^^3KgYN+?0UJOI6OTprL{>l%HIZ9(N-*i&Cu26NTt4i=)Ze2%M3oK2lZWp%e z40ROqjCBs2X2nu7=>c7uRF^JNmn1x)>lUlJIw3&oXZ>bA^l_tMif;ut zx1aHfFW!+?%rXlcwmRv(l=T5gWi3TWS&z)ByOgkfS!OO}?q_j48@pOqY(!NTs%w9! zWu`N(gwAb_C*5VvvD)D_KZZSRN)J!nOl5cF*R*s+bQLr1(J;(3#vtt!o#Pn-U}!(8 zHi5*h32If>E7k#8LNULs3OHbQfZef%quCRybB+%R6p6(&PbxQGcsu>yE0dNr@vyD5iWLB z2c7EDV74>Z^?S5~W6TJJ}z652U;cgUUsUpJPTfkT($%rUAnwWzjx*M9x=)U?yga_ z7NzVd-0XiLLjwV_OHAepj(ATN_9wryI!Ibqh+ylm)!5eybtymc;{48nx>ldSSXx$* zuGQ}F&DAdo9erM9M;8A^AIY3avMITe*+;{6=vpbsXTf0VfVVWlC4}C#+ecwI7dNx& zc6?LB&Fo8=ldch&v9$HpuM7?aoFG;@oi5TMO-6*qZ%0J3+YyrZ)0*-XwZWfB?5wk( zNs^`iP;8(b6Oq8y(>bi+&X$Bt5~BgMC%p|wCyo&9OQ#1wNeDrn{Q0WVf-0yY6du zu(!mw!+B#yFR6DrH?aK>iL9+%>)C%$g#oWZWt4}ZsE${mM#S8F4%?gD!X}W(WFFgs zHHz^jpI|H4I*3i|F?Lb0Ikv)6!9LFxuy?^%WO6-z6Zv359sjZpZTnRItL125UH*v>#6C^xiQmES2$-s{)asZUwca+6pF zR(4A5KeK1q)tx7-k_fq-Q~oasS5`H5-VM+3SlPMgbCdWqucH(4a95{wdV_p50s;-T z0t%cqm}@}OhUAR5CYW#kjDQ5&{hV~*`qV0hrI2HRSdC+nT?g*{} zZ^Uuu;5c{=fwSK6JviBFMSA2vs^+21HA3Hr004pF9W0lDTQ*$$HAr` zw5d*n9KoH|JlVFEP@e41;l<@O#bmss5CCsGUhZ?XNW{gQmz1;Mrq~3#=8|8?U_^Rl zg{><<09=7Hq#{mk$#mWdj<=mFP?vWQX^ut%jzKfJukaZ_i|#BY0$^fYf%Vvb1Ew;I zI7-Oc*@v($sErnPv$%a$I*#P#$Q#j?x4(>bhc6g+? z#vq8mF3tw6$CMp;0f0LY47CZx$%zT5^TB{`DMWybImp3NPH~ddj&QPauHwcx z(FSJ;FDRQM>g=vl3K4YDB>4j@2_Ft*aM<4s=6=359yD6j6MvFZ?eog?DCcNYt(}2h z1m}>=2*(M7Se1|9rycd`iEk<|^d09w@mFy#IXgHPK`!R9lZlE z<};4BT2jd$&Q)DgZi?$7hp6$JvjQ>3F+hIT`<`Z)(UG*b+{|c-G_%|V@s{Ie5?OqM zh~mnyTRjMXI>sHy-~=N~xo#%MIBZ0-^M)1;J)Sl{t(Y^VE9XpfGD+2(7|uT2+V*k1 z%Ra%0pTH1KETWkN#)R&6mV-erCc+#59cm%8FvJC*gFLF zl$G3%#5zhkcU(`Oo5%gq8OW)GF|Km_xevzLY1TX-h9lJ=Kzg3-z>qq{Os8oD#R zm`Zg5b1Rp~d}oDY;h5XG6y^?YGAk@jMGRz?GCi0F82hNj%)Ldo>HGnzDeVan5@Eni;=y3EnwSYdy8T8;dIht?|;>P9yid>qRh!L-4p{vCF*O zWj{uOAC2Ctmh%DqUbTk~rKlopfzxvh zYuC5-WOS1zzSUXxBFI9F>vHFk1sysUg#H)x>6HNVeu}dv$Hd zVKT>xXM;>s3$iOjs4xziDvfV`@jO4YqsA=C(`ZO-9))iu11d}=U}IyUw^eHbIp{0^ z12QW>Je&)eCTkV)44l$YkC!Fm?DcJQ$=Wr%{djZqDw7!)#sethowVclx@oAKU#i#e zdOe&FI)#OZUh6#F2DK)h8Jbw+0UP4l)z9@}fW!C~PM{h|yASaMRJTh2?cMxcvcYIH z({Mk&67h_}WN!hpc@^Lw&s&Ye^<5R^v4M9(FO9RpH3+d4Z@`O!IeKlemIr_GU;UH1 zi>n;hg^Mz*0M+bb(V;xRFjq|njL?6A{$pR@JZneh>FV7@)#Gfz7~L(l4}AaOy|Bi& z{^#oAkmb;T-mG=UE*K!_xjGANe`*@(B&wwYcU>y%x4Pi8Pa}enkVm|e4?fFpBagzv zgWW+ct|zVQ9g$!rSf^R9so+U@W4s}^i@rMOF*B7-kKJGN2K5ruYH*cSxB42bQQJkH zjpkS5wRv@JmNq_yS8czVxug0l?jjuFJ`#n3`);lMPU+@>HblV5eNn9vkmRm33Dxwo zMDm~U{zFdWjc6RvdhPt5ITanDG0J2D|d|0U^rjM%QtG#Q`xB;iq)R*CSA&{ zNx&~u2Y6ZI3#v|S*y)vevx|8?#w1AliPkWW>5*+8qAJ0mQa(k!0oc)+fUI!;6^1F& zBsY7m)NRX=w2zt9^WW;}>4@>g0S;gsa169F_UC zQEQ#urvge_Fozg5XOf3UAWLj55T7F)Y7T1cxBTj7q;F@SRBJS`MByxXn4RDq>qPCp z9_qIDoeo+ktjL_4JLeBZh8^j908ft;EI)_GsAY7VU+|s98=Kiuk8-gZa^18+6EfwNML8b9Z4FBn^M zLDS9IAG?QlxYb-hHHrk>oM(ck^9TY50ZE`#`$hIN@D{iV90dq)P_Kxv8{Uz9Wf}m~ z*oXL7!g;#cExM5c3NTYSQ!oZbx$pFtQl~oj7%)mt7;dqQalVrKoPX4*i{IMX6zVP* zv5_=vw%(#o=Sxw6;A{Q|&92sr#^y#m>$7{OlfRD0gNPpFPw2ihZ~!q*DQ2U#H<0J} z{^(~~hG=VVXI!uk8^H*o3clg!0#p@MaIpEV`EdkQ-~)vC_**%jl`=RMQ(=cA-Ma)^uq-08r9Y!LAby|d!GOU``ld~ z*%5&(*NN90(dgo*H84VN^W7V4QY3NrEqgpZXr6RQa{Fnt+R4;`R9-0%v~DrJfSI*f zqIVZP+q71%(a6gd15TBDG&U z2REp}fmeu_vFtZ#1$z)p{5S4aLL;Fr(N36Qvd%Z&jgNJ3Lks5w1VC5VXe8jJxi|~+ zD#jbNg$2=MAIdv!6pl#>!In(V%zwNBSU%ZQkvdk>lZ(G6a z!huG6#IGAjb(X4LK)4dPlp;#v5bmUkeYqU$QC^uI|VcPUjT}f531Tg@=SPgY&kljh^LxZV>UV;UkUwQHS{p zj*^BNp}_e#XeRu|OGEp*8}kQ+D$Hp$G_Te$)D@?h2R;$nBRZ&yWs5?ZmAn%R<(6%O%27j+cUl%In!5-w*+o2eZYt^iS0kC7*Y=JrWC`j$GCUzTDJubeLPewh6ueBoFFx*DTw z9fV&akRc3Hv4e?SIqOi6h;_sM#}klqAz-8ws#S$ffu4o;{nZshJB*r zqAK$%u4hCsqDt_RhRP(&R<-oEFsP)?$=3X*=wNMWlD+7v1p?fI3PRLjP@-MtSfQ(E zkVju)?^0;_Uegfm1l(U{D;fszqT}fAI-|TMmuo_a)3!COm2xrP?N%wS~*%6zMqKLeNO+U|6b8nmnuw{F&p{Zp%MAY zfp5Vz+2t$N@wMUD&+t}Te&=_9TRgT<&XGRZZ*W9+JHtH9SSEK*^PFA2@?0&CSeoEb zdcNHWu;oo?IBHk&ig^aSE2Yy7NkX8TYpSMwK>xe`B{I|7SD3(4uDmDecIXB5tL|7I zwOEM|iE3k;8Z#RoBXiXMXJKdC3eTY`O%5A{iV{UNY8>G{lRleMNK?~>?zP?q3)7D9My+tI(sd1>C@pyixHYXpi4Q84Ufsb4@ zp0(pN*Njt%KZvWrTf=u6OPzmmSK*Bu-2Eev_8bef+&X}FxchSVKiyZl8Tc0A0SpgM z=3dlka~a92&Poqz4kvqUE=#1$M+N8=HhvX;sitBftWi@mDXV?DhcgG)OPl+sH_Y`#(YmfZHA&bpl#3_yjpB_SGS=tLj@ zXck_?KeGAL{h|X5NVG=_V@q#__>)!9Q*>m{CKtH(1(vRi5BQc<)qKcihs|tvX!>!( zfY582ZsafBBoD)c+5F|ME@^e8dJ(7mM>5{&h6-aUZjj7N@sx|gbgm@rdt7E9y0kj$ znf>>;*OmWUB9ArIz6nP8Z`AssJ{B|)_@qXY@ZLWW5ekfEzV4pRNCfQQItTT_d%i^F zie6c4xACWTMNgHy>^|P+7ihV{E-#5XsqH~NL`?1hJ$-KOi=n>R(eYbDe0{M67t5@A$`Tn-%Slz>|3aSX1%=FD=X@@q?vN=xMnpNg zHs7_UpHxFVo*7|O1u7GWK1u$2%gP11{ z!6nfzmSY8YK*U#f`WPzaH#P6o?&X&{Y_yf}wFTdDl-)}KB_FHtjvpobD`2^O7x4A> z>R`Y;-cQZz8awz)9EW*(_-1Go=2~U4%Wi(0-~af7m{Inyqc*%FhX}7E9mk!- zop!j?{j%pr&(EG;Jqkwwm(20b8Lz9>z6c)nA^=ffiYY>T6v^jq;~>S^@YrUF_NsK@ zv~sh#N0Hj%t_pj=A6{#!!ndo2MB#a@+to-4WI>RH@19vJa5M7xGL+D2@{$pc1k z0RYW-B{vjKEmp4N?&X`KDZpdgO78jY8oXN1O74LWbM#BjO710okoXsQB{wK#AI=Bx zhq13m?!(Pv9mV}x@!~VCE4iz|4?Q~Y^?GEAI7U2zqrlg{!d7xM!R6vXJ#79qc#Xh9 z+_|Jk+<|~i3~Lv7*ef{AOl&Sb>@`7LAvQzT=Woh)^W2Y9)K!V=#R9Nad`6>QyiDzM zQ;k;$p^R1R;YDKyz>iF#OT^o7YsLED`dSjfmoU2Y6hRT|D9+~Airerj#2w=GwN&wV zYzk0BIEd^Kv&6095;5A6CqCV@!)8FN6f?w|!6CSg5^<=w2(IB;6-`_zu1Gi*4vCA! zwPG(_o_Mc#v)CczfcSrLUE((J5_s*=w1+3|4jB_)E|6(%@z4^hiCNB|xc2aBiD#8PfCkhcH{eCcQDlm1oh87HhwsA< za4evGc8g+Tu|=~X^sKum$OM`dH|hB<{Ve8run{;Y$W2RZnur6mkgQT~u_=_zjf6{& zB8iYcR4h&bmI_4KkKwaKC{UzcvioBk4!TD4f#RT0$XpxiIx^92WW)a;j-1x2)-4f z0EaotASA@A?0{B?=X&~~0f>&b;mE{ALBq8XfC3tZHb5I8M@L`6CTJ_P4cZPlIF2qo zCl(=1p*65oMeFT=4niZ)j~?9e9*7UE@eo2BsE$$(wL&=YF=#);g$_fbk<995=nT{V zd5g!PdhQn-5AxJobiWL(g*u^|P=%I2yidFfit;}WOOPu*4IPKBLPw!q=pSel#ySN} zLEUhyvb+qvg2a#nIuAXD&O!?Hhe8|9zc7U|Xb?i=w`&{~A4Kkk?AW-rNx(Ib}wIu4221v-h0rf%Opjn779)dQB9~Ev9|AtJVVeunK zPtpoKh14YfK}gA42qED^ni2=eU+4kn160Lzk$CFqU=1YSV`R`RC{x`bqe6TSa!#}g zH-&bI-$9Fzvji=1lIThtVa!^)P>C%Za}CInFM(c?PG}Eo<5PrR&_}`~6d*Aciy#k) zy@VhMgP}^`_O6AwI4#}}v4f-2%#EsHFS;m>gd!yFl59z)Bu4^UD&!`)3i&`Wl1Pac zZvt8_iIw={@sb)zn8F)3h{rzCgHn~u9SSS!y9$B)j3fXt4sO z(kYpMTS}K)fvGHYJ}8-jbnw{xJy0n(JFRo|G2*0Hnt6kCbme5l3Bx6U=gCZ;#pGke z9fXjOQf@%YVXr#LKVnqYL+#O}VLDG8{D5{-f8Z&+cR_$h#{!6n{Utf=KmblVRBG#nRW6_5DlX2)fj|a z)Y7dG-Om^l{ipLk^$gyyXp7lK5ee8VI%xrdM|?d*J4F)2Zcz{F9*j4j5$bo^*}i5* zbVy_*%G5q8lE#y(r+Q9l%=(UY4@0keD)1JZZ`_mJHXLu@YtJ0_Z1^-HQyBEtIcRwp7 zm2b9g<*!MwW7j1yg48-cbXPBLY@8^a?(SX|vg~$9dv9=Yt=GHq-4%>VFOP=ayK8Sd z{L#IQYwwu=`x6)fOBU8n2mi#=2l8Foq?ZWcAa9_rHx=@O(%~K=6C$YRBbGx1AQvJD z39wAB;WtMR)JvdR$D;uW5@Sha^IfP5((KKW0Fnk+qtTLliJ`5ja~~hykaY!?rHUWP`(9s2$Rv9 z-TSEbac?P}1ULZkKt6DxI?*!|Xw@}CCn5H)GAa#Q{-MVYAOR;`xOfTx>D|xEi;ZGC zRrc`T_NK5U?Z0~OYtQ!n>fOco-P=eWb^6l_NcXQYNZH72;Q#7{dQs90;Gz3MFDQMR ztt~wlq6e2A2{D3m)xAxn&jL-QYCR)8^q4#RZ9N`gNQ=*WP-=#jl78_opnmgnYJLd_ z4gS4<15E|bS{Lf)1epSMt9DV5z^SrAu&fQwy3OAcB5JMW_PB2A+0nCw5+K;#V~_C1 zzAX}<$>jJwF6K$2Cx7ZQM=a|~!t4@@!^*OH9AjKfcJXcAn z2vZiEhbWVFA!_aPbzA|4G)-E`nGQBKIv0{!?T2i*GvB;*4fddGU-O) zbTGcET{<8gY5roVlFFs4rR${4(m`pz^r8D^=>|A;KWMvjSZa?r2fw;F1m_nDu4x*; zPb7ZV@MV@q48sc%n^_%pSMJ)IO{vTViAmz9HmR<(`NQlt?Px)8pD9Zl(ZIIQCX>VJ>strRef#VYSi8(V z9c)Yek=PvRMPg##bZ~gzjl84qu4{T|eTN($2nDVe~$s z^tJ;$hPp@f)!7|^%l!a;-!<~Lz#Y;>_w62h_DPr2z7>64aOs0|l zf>MZMB~pi<9QvNWtFQt2weUb6*59g3(l8N!SLy`RAy}noseaM-{P?&Ri7UYW^<~t! z7wzraD0HVrhi?=y8y%C?UEkbrz3rC!^MNzV9VGJDNWZI%7aGU^#WpFxKCTS2Rr#D6* z!(~Krr7T-DALIvxe_{v}~(v zo-Pyq>P?odl{LtevRAHnbuo6YtUwl6r!7#RcRr$B_MdFf@+ZH-b6WNW z&WUD7+MR%deP{bBR~+iwpmn(KjdXR?vA(UOdAPl&`_>1JW{meS5&r}@0rZ?)Q84Te zSNpE_{dB+Hw;Pu(J0t7v%&9K7F!mEHO_d%B@BntYTcS$?&E!$i5vQ3N=Qx=WUfnT> zA;ZcpNDYN|V2uO-Uq#jkQ@KxV`qGJfOZj`^PIoiVPF_?iYIT$kgU)iPaK6?}{-dwi z-&y$4=b`MYh#_0scO72g-Q8!^QkryGW+j|Qhsz?7D%o~gV<`HW(0x0#-LBr-sPOlWE3ybv`FT{#SNfikHJSA@6iuMaz<}saszA z$}3myCohm+23xd)uVUbHsLSSr-Q$9a(Z{-7U~yhESyiUi)F32Of~5~OQoC9=zX z6Kypjg8X%ND0^dBzZ~p2iFg^IA#LSb2PJlbvL9U%q$PnW8dpzN|-8WAGX_0kp{$32wZlz$`k%I+^qlQ-gT%X4KFZujN8{WImFLNobYa2seL zPXm1A`EtIOL1Jm(OWA|AR~DYYU64slDfN`@^Jd7=As7;ve;2PL3`M;6dEo8c)8=%y zIwARr?CXmCp)K-fa!L4exwkwPQRMwvj&pr07eu_5M5t2`$BDvBvL z3Hd3%gqo92CFj&=1(ha6N*CoVL12Gx3d46weoy*Y&aN>bqWkmYGe)}opF(ffy_DH> z7s_${ZhhC~3-ZVA(e0|DaG7;+v1>9pNKPtu?4Q;=nkWl$mwNV*5Z-;kvKmo&a#;VU zlY>06|F1l_SOxnwzE3E8CitxzpcmJFrEy6=q2WNOeGOkumOsu_Bfx78{YwkhqRONN z@=AG--3BeJ9T)Cz1=-&Tui)(pQhBmGN%mNd>R)HZNKqrSXg7sc_0Ls1!S+($zp<>U z$u}_F9}#vur@ntS|FY~MEMta@3)}ia{-``bJ}q4)SIDVu`|{tQ4H753RPu>@|t1vXLA20Q75UeDX$4MWBZ=u}B+W2lszFhXRdp6&te|vvv zzhm{v{=49?T-;w~p&}ah2SXfhBb8I(K*bvf@fRsyrWkL|AZw&Q2H*L&af zEb09pF0B4eZ!?}qKJ9RieZO~+yB+tW*K@#Y;5^PAc-H&87Yr{VzV3ZOc-NcailU6* zzUY1K^#Q*0euZbFmLAEifn;kR0qBj3#+wiu zo87h)@JPVuQl{Z;WHS(yg2SvHxS#6;YGG;s4feq+X9Xr!lop>Fx_PK$B>IVk|4rqXAV53>@wA_V+p3Gg3e4_bM^WT9! zejPF>B~o{}*1x{z2JQ^R^YXRs4scA*8Vium2He5t{FeiP;KPCSCVO>$8_W#w1}+ZN z071Z=0Y4-e`5Z4sDqR23&IMxza@7KKej69)??j7rCrmm!hdLM4^=*HdH4O}_FWSWL z-kW)#9}Kh%I1wDtMOr9uo9z_5d(5+YsVQ)3(l0RoX3Xd|L>T1yn%N;yU^D960N}9_ zeKmC?6q)ZV#igDPEgHxf@Kt0C^hlftgW-OPxPc(VxE{gdrG`(dPhv{a|44X$3MChO zWg4i^3anPYlJt040T4TI8ylvmMnx#D>P9J2!**lY!EuVcP@rNgJXn!Akg7Nk9Rp-2 zRySWy=7TGVQLW$I_T%yu!dCX`Lb9KtSP`yhZq8D~AeJlKiL<&Ed8Uw1V1|B)iybIb zqz_CbOoo>Pehx(u%B0rv4JlO$OSSzFRY8OKBX=ALONO6?S)Ef#AQIvg5RA8j(ygE? z(*2)Gjx?^7^Az!lalxBjk)m5Mfqkva}A zo>6FdNcGw^?kci;Sf(~M9A4q=m~Xhe0lD+PYx@iTDL8KE1IyqoJJ`nz9i&iGPAZxd zjpR(l3Vk!6 zIFuR|>l;zf+p{Do#gx9RfisUf)?22Sf{Mh!gfc~ufcjh-0IwSd z60g8ek16++o`5Ujko=WPE%%YbdT%gQ{E=#vt*T9K=yP@)M5$m4{2v0jA?B9K(va!a|x=attx z_`vkjMd<*1q>^$kexDQF2oa>3E_IprYlosL$)FGw2DpY}2)$q+gRlr1mD*a>9N@s7?KMp>sXy0#M zofXq~Rgc298&??M2s{r*p;9RNY);5iOLvM|ohg*0BG{bqN>)8gNLBqP*g;WI@~~$# zjw#Q0QPRENd{sdNz2!&X&jPpF>>zEz zoSr}Sa9)<`jMog-wd5f_J(Z<=yv#$9r$|*^QEX9UDAN_I6h_MTidaOI;*%nTP^lRRgtems95=p;ZoHgMz~~Im`CWY>XQU7+BY|ms#kT9hyf1~Rxe4f z4k$H+1~S`Y&6Fz=P^e(SKMr92n94i+S3n%#l%1LU&AXjk6^SAk1zj2ju_bcnQ zbqeDUp2~R8D&PyO@yeAR%CSVPz<9+vRf{4|`8hzY)CwWR-N!EXJL><|b*Z0I=4MK( z@=RuU-Q6MuY)g;emON2ewyaZbQ0`a0a@Yu4>K5fb+;-(pr9}6$YAr$hZqL3NwlpOge^*)S10RzreyDt`l(_p1+HjsJqXzN7OXc&`fi~}z$%C1$AC+k> z{mLFf++a2rl`*UQ49mcDu+0!Z_-|mPm^5e+iK_ZuOBpm;8#%a96<3m@#s4adqg+&h3OCgn zB~B&q`CmCvwGaD*5UDC(5pUx=_&+gL6{ZSQeQFI>jY;eV$B6p^B2-=~Oj3+0Ug2D) zOA1R}s+u7T^%!KH_G(pawJub(7rLacR6SAVs8*|9YwR9;tG9RXL6KN_R&RwWQ-vKY zQ#~?ctFWn6s$~jwM2$*w$?4h#6;y3l>IgKe@>D6RO^L}7#i}~OaH}MkrZR z^pSrWtQ$1;xK~}iV!_a`I6t69ts=#|V5-JDjK2fkYdna7G z4gEvXAF6;}J6NJ0#>Xm`4bBffP`L*#4#pz(;SfVbS*Rf!j`~n?l*y2(QhUfXPj@Ip zQmWD1~b^w1Z|dG^4Z|5>m>fPK0$O&O_S>#zO^(7$t6~ zs()jY$Ix}N5)E&a=g?7A5-G*Ucj%nzd0D{Fy_BG#TZ8L|LWe5K(uPWgZV`%!u|v^% zXYfmgz7K92ic=cHeeE=?-O&Q3LNoAI!cN7Vh6f6%YQLhC;;lBKxG^-UIIlRO;Dg5$ z#}!!INjQuviYwj@#-^5Fzo^hdUsXCo<0@(9>|jdS8831fH|$ge(MOs|;p`yrS`4)e zd?V`E?Wczl)N;|U;c=lcyo^ws>4kU`nLhYi#aXq8r}=Q=rU-i!MU_opY z6{HACQNfB85CRCyOhN*oBxHJ~rOe{=me)Ij9$>h$RJ2SVOU%kzO|Bl*G1_U116kYa3WtS6^PkN)0l-h8Gd$kr_&Mt-m5nCyJS8=1=eXOrE` z_0648SDZ(NtQ>aEZ*|o32C%&J8 z-YTZge6KL~*u!u86cbkGZvMf~8iaB0LK8nws&h)meLq*Xs# zq>x7Ri|`$PZ?;M_C{Bphx6V%QZf;c21)0JCg&|YMKb^ngiF{;Xh~h%aDtSi373T=W zNkz2csrzZZ)Odv@|9!~y{+a#3BH^IZ%w1as)|?P!H>HU}a`uTXST+@ZasTH2XMlqs zw<6t{i(9S;Y)zM6<=ecu*`sxWXk4qX*?6XBKnc%LPgPhM&1*frRO$Xr_IO@&(_>jc z!&6y8Yh%e#-x;kNHRIss_<6C|MC}a5Axry$OuTYPsv+oAJVpc zd1r%n>nnM7=5_w7om)ZqO)xEPIUzE%Bnq}P-w-#=J*G%%KGxb=5*u@Mr&Zzb1wcji zAwk=MG-<@;=H|L*re?fUc+UECOpxvQmaT=O57M?nSp_?B=l3(_wSM8p$-|a+vRe&4 z6<=Fd$$qqcms$C3Z}VHZv(2cjOwp7a<2tqt^Y3r$YW1lwZL^kJw5b}U>saL7hPP!N@^4FTp_&lvsCQVA3*EYUB zwry#PU-SI71;T_jN6{kwcS+mQw&g){6)W0uo6ii3iFxI|zwLc&h-gRV&9>i~6I%Gy zPZDQ^Z*D6S<+WXGgIf#QB3q{?U2C1!S}h9^2Dh5XkEf25XJ!5!V=h0UC{V=8QWZhA z9X9VbddB!i+zt= zy-nJ>ugx?gHBM<%u>QcD)+I)*I~BiM){pEc`O-M6^@m$b$w;|?+rG;n^?66 zx6a{v*+$VmqrPCj5pL~VJ}Rx_^3es3&lpA!DN=x*$@SkDoo6@m3X8!Ov=b62%jwr_67 zMdNn$h8|iQ9CE@)IUB4fY2P5vl1Bu%JD+j$9ou4b(@mD^CMd8eZ2xMzal@MSIO912 zlz%dj;7cRh{s`RRGG>EFkWsp9gt7Iq5rIO70FjA9K&mi)!@cs`^K6Ab8+W*j1WvXa z@wOi~{+glSKl$V1A?Mo10;l+LO#CjkFBLopy4F4gxYlkJbgP~BGZ7qXcN07-A1N?% z7tD*1XE%Md#8zL8xuV$7;?X{;eUg0F7HMMhf;*{AiJ0xj=9gPMOR7ZuqUPp`4QF_1 z!l^ZAj@Z3lbWqwHz4pLNw`!BR)}d_`f}`q)F77~s|~`lsEm`Dfye%zF)L z#ha!7YmJN9*6yXyG@G?5N_Mn6PF|ZFDdKB=O`=(qw^w@&{1CDY1doC?V$mp zh^OtRi;Il63vZQNd6V{hm7?0V%q0GsxxU8tGu*}kUnc(PwnzF5B`y+Rh z{a*AnwV`Z#S+(h$@Iz(Kc2$%;+ z;R4m_d%K?OqRNzIl6}Mc(-mmh*cA;OM48orce|!pDLUYeGTsyY!v52Zc1>O*9@RxP zPHP&hb!v)jywup`_A!?Yxz_kGm$#C*nLFQV^lLiY@q344S|o49oiCWxY5ZQFc$EyNbziZ3)#U#0pM{K5d^6CsgLoF3z*|*bz5eSrncq;5Il^t~tO}{E@WC z?iQ}tUmbqJXrkG#>5n~nQ_-Bh1`k19%kVhDa)Izi zPWbkO%HB00El$f_lr1fR{^^Ix)3}iD!$upQkxdKjU)d8_%#-Dt>lG{kR_+5~0_b7sh9#|t-0 z{~H!yywBOmJzx2t{xw)sQRn%qW2bU-@~2TV#!WCCrle<^E}vDu*{Pi8MSb@i$unY> zt>mTHX`_vsWX6^)Mm$r^Gf|0ps@xw0(QdWM=_1qRYUN{(#1#tVMALzAmr7H?9T(%e zQ+)b&&wlq=_4||^CD>X|;d2ky&<)c9j8WyeL_%4jT%Cw3yOg=<*}^{M_`+(VQ5o+$ zri;XUdjCqEOQdwD{CVg>1?F*7Y2tTTIZhdCCNpsr995dzY3g%kXosy+6vVxG|CUf`@N(i`&UXw;>xFs##tU!UR3T?K2}aqUbGXJ4~&deVJWAK;#z`L3swEz z;&P?MWR;6Ro#&e&_FTnkflj;|kok)g%tcX{ontZ9So@!U`P!Fkc zO{FT#Bi3kzDq+Q5W!n@F)ro3{N>KTr;%4@)$_3&5D@n7O>Lr#rMix;$4QJA(D$iu$ zep6DmNLAZIS_E}@6%O%F{oR%4wiI~Gn)~OW8G;QJ5tX^=yHx$kGs-=xBfr(EE-A}Z z5*4bl*q~9q2|TY_?~xb4y4lyqSNV*7Q*lsLpn9eRRiJW8J>w=(4yn{0pwg;-YDIDV z92IAK*sWM)DRdMhSyy?lT|)VBeksC4D~C#h>Z4?2{m)?<a~qY-s#i+J&0>R;Z=W2W@rU*)x4MY3cw4ETEa$1mLC@zM`;-$*(ZYl2WOb~HfRfuwP=Xk~IxbK!(BQ_~>j7F(M{MZ;_ zoR#Z1dl^5YpV z@VKM0!nHhccx#_O)i)l;Qn@$zg{gwapHiJx#tQO44lvMOGnsV`JHl>0QqS8Bos$3&`jtLCYayw<3=id(Ad zs)LpPQ!P_1R+%nes&aB)rphsDR~=WTs6td}s!-ML&NvmbJfLG8U;1`cc%`&F$H-)2 zs{5J7tCo?Cvift4|J3O^Y%1(3`rZDkOlWK_Pf4B9(Crg$JlJuh!%l6lo;K}% zc`$V}xI>MrTLDt70aO5^hJn{}b_-E;KR^Ns00H!Bjruaksg=NGuusjX31Cp&0?@z_ zwF2l4N%G@(X3uGL!PtAiSv6FCT&)zF_z7m2_;rpQZ`bekeDeH8@xs4+obA6m|ELHz zCfr(#W(rJ&HNZt6Dnt)F1bTo`4$swt0Bd{&_yf2G90#nYJO$pW&j2sgmw*rIr|RoK zU))#qE#3w`!}~wAmgoQA(ME6&Al@(>9t1YpyjGtDzNwpM{{}ncPXMoYe^w`t7z_Sx z`9b|;u2S7zt_1r>rU=ghyTKmY*Y%U&Y4AT5li^#{qxQOckGI?6?g)3NonZ6G0qQ1)#~SyjSHUxCwJ_F{sp9xx4>vG0a)oH1it{0@GvkM9u3y2O~9|f zeApC>g%ja)NjyBU8UoWLGrY~gkFks3rSL*v6d23rum&HyE#5g2oD9D5N(5}c=U^US z3_C{%K=FqE0O6)QbvKj;B&uhWPhK98d;Ust3o^f)_jo%Uj@ujqAWIU=d}6B%{IT*d?BVTec@)0rc^p0@DVIh_PGo#YHCp%ztP{Se zi2@cwe7%7m!9vL&z!z`?Gyxg`6QS(<2#GOp7!*SJ(wDHYco@_SI6@6e z9>Il@_0TM}CG^McFYsH7QP3Os7H~x3D3~co>EVhhN~h4XsHN7`}m z;L^=%vEb=Y4;W#5GwByRW5ql1!C(S3N$% z@ZX>davh$F+=L^LaD>_PKd{20VTlj&9`1C!F!qULZ|NOztUxKACUHSRkq#&f83l_F zcccz7tCnnV6s$nrSeBR-O7HUZb_G70_@rjGIu4OR)`)qeL;h8G0is&+0nA7&LB=3I zp|MC1vR*t=@)pLxjYw3DD__r}piiI)K!6)%9>~Yk%M!vwfV>J!lmyjQASKc+$;Z@b z$Wh>tWfd$GUxe?%%cRF@YLGDK5Hh*O2ze}jCw4%}kqF5+;3Kp&#faa=BNu^Tb~BJP zBpz9V+<}`xMsfu@C6-G*z&*$lxLATCcVP=Y|14xs(iQz0jx(MHHA#7sKJpVhi8K@* zmNZNLgZ~G+LN$;Pzp=9rikydQqK>&S<&;8dp-#V{iyA=HDtAU5#WQ1?X+~Hj;q+g*=CM z^KDwF8iCd#Pr$XvZ8)ju5^RM!L5mS7vS8O8aaU(vV~FHA5{RA>cSBy;{~|S2uMutC zHgvA!2Qm_2t;~>hUKU8v96!aLP%A{4H3=C+(7H0@cgY@P0x}&vCAsw5Y?NI*S$qhM z1{%;rsH*dCgw}va6%w%b40?v| znce7b=uWg0DMK3y|3tslWCwDn-1%m@pZW&uhWrox0Irc5qn}3s$b!1dMi63$oI{7; z*I~^_GI-JMD{P9)GnS$An`#hCHacF11ne_PVxexN>Ml?aKZsLyZCNPLDgINpr=49`aXg-@f)rT?Pa zkpsvv6#IV$A=C|CL0=hNLvQgcK^RqLo$2z{#`C;=!(#eJ zn$&EI+tvQLd3xOM3%^I6@V!{1kiM=pGczq8UY#!W1se;WBA>mYq}m*N#2w4S-XRu9 zld^#?r!E~3)BK!jox1~CkA6gVqef^NB&%tjy&e64rXxY5jBXAI%h1y~$;v=7fdS$N(FF<}Gy{J8^M1}k{d(`=X|A1zw7q)k< zca1N09vP5a!N*`nW}UaS}FcPnsrLiZ#4<&kIvdLDB@MQC=J zqj5c&FR6lV?a@oL*me(7;k~K98ehP-uz9FA`g&a!xTd}&QYaZAaYcR67!-oc?HYJ4 zVh;4#TW)hjjd~0PCxSy}*UOX9*Y#`ByeXshnmb!aM&LcRJXaR2UhVApP<=D|n3_xY z?49qh7R^EDK}%ykV;k%l@FM2q@BzDkeTF2M11f_?=U>Nu@%%V1^e*-iyNey+dzu>_ zhPt7*Fi-qB<_f*Q!ujVaz+NGLV=ED7>+|MoiSt8k+C03Vi~v+L(k!7!L)%u{<*M2AG2104qmZ z;%C8V@W|9;^ljbq$wS}-{21gpt=IdVcn~YjNDz<4CP5`=gYg5T3fkT{0XvEg`suOQ zsl%|p_KxEJjltrv6UZOfDfATb7##x*hbr-JXchi);ZJY{7Kp6E4&em$Q@jmdiuE8< z*UiWNh3%pHdp@TA2cO9Bg#JavP$>2>bqLvmcupI4C=#8F9tWr4?U)m;LL`wcc)L*v z{wO#SPr&_fWmX@46Z1wzcw5jr>?AmdzsDd*61f zb9@T$yeYR_Z{aJ^OL!96=O)0>&gJ+cd>#4#{2%TqaYhO%GTK|Po3IVE249OLBJ+_= zXa*98UG$XT?oe@&AmkUk4TZ!y1i^~X&!`@6##Pt@nD@{?s_;L6lh^`mCiW6d#fH#l z@LPPAa1`(Y{sZ;LP9ybrkz_wAz@h;Xi6?6C1q6zxV#kpe@OA#XsEO^wBe1Wv6fOo% ziRTf{kPYaE%_n9dXRxu>AMvs95ax>gM2m`cAbN>A)+#NORP$dy8%$f*iJZX)r^iZy zuu$SQx{=74UxDe-IBY9133JDu;e8dmh-`jL{l%w!g2RXatPY=rMG;dF0(p|9_BxC9 zqdIIcVQx1D5aZ#*DdYz%B|6bMBw5-*6r=mF!`KeuhU5$`cXlPpi>vVKPs?*;Tb%xoSF-zVgF1O6+^lMH|^z!VmUJ*%BX)}u*; zD`aK70GmfXh3{L9CKZqx+kxhxlB&=67xXi+2yr6|i9M(-S%n|nT3$O0I|AL5)FaV( zCnQc}7w~|vjsmc0L_XRKPJ~fx7rG5;#0|uH@;cuuQV0^??tcSq!4KkM@ev&2`KVj) z{n)AH5i-Y?Ei$`xh1f1kld%=^1r<`Knw?l~qa!%8a1S;jYGh2h_rs7V&vuCwKfCQf zI;2y`Vj>9JOjHnZawaN~R1@={8e%U}Bl!!vgeBl_a94>8`dsOVjv!r)6Y(gVBZr{h z5ItlenI&9~j+Srgi`dO)dlGz$%#}4<@_eSeD;DB!xg9n=5A7( zSs*ds4cKTTgDjHNNL@&!cmWxM{DUqeKk<@Glix&iDcNrHlQ@k0ARdtq;qCY@!Vja6 z>7e`k+2kT@AyP>Gg)`(gI1pLjpHBRXj^(-Ufdb>o@2ER5BlZ^@$j=rsC{VCXitzIP zEn*osxQpavn`gD-$&bX9Dr3!BGMOwPZlMyyiyRrALRLX7mZLR?q!SSZ9z%!-4MO0r z;Me3Wq=57ymtlU`C3G%!mH3@VB!0qs5TWLlWCW(nJ3AlnTe*J|v}fgLjj`qusYHv( zbtE7jqd5%*Xb$Z>-mFuF&bv|-up&>r57H9P$@6Fj@d6fUzQJEen8+1dk#EQ%;s*JK zu-14Y%g9pV5h@|PHQRRICKE{rdja;NVbE7{l;#cE040z)nzpM`cdkbM&CouO?DNZ zT^BU=bfn;eZV97p)ehnBbO-;}Y^~cJHrm&_!!P~}59UmIkNpqzy zTkPTj14zx@MW5k8X?ec8!dp6_j@2}5yDKfu`p`H78PtR#h98T zwQ^*nS_nj|lk;QLCsY9f*fTil$z?l6POIv)pO9P!9 z3uNk|<+fmk`k5w2y&4|ywgM9XKs_N7QokVHYM_8Tpi{jXfYrf^FY%be^T{-iHaOe= zr;+meZnakZIh^HjhhDV_g#&V6B&CFOYIDj#cuZ|YMM}=7&+`~XcZe~y1vt9(s=CGK zy80CGOg+=u3KmMIQjLp#sIB3Pi%$T~RQ9AhfGhPXLqtuduJSm-M9&$NH}z9}I@OWt z4l{$tQD4-q)Ma26bpr^chQV{FWg|igH61=t``z{ER&(cTb3NbyIGwtoE)QKsnMa}?zjD(kN7xIV0w>|-&VKMYbvBhv z1;U>-LGVAo$5kP)5il2)NdMNPz>5#P0~W&{flTk}OugH&;Uec@% z2+6L319^18v7i>NhwESwd>n@07#=^EGieu(F0_HEsTgn^Ud|&CO^xfpq6Ot9m#7n4 zp&eJLWAJ8hh&l<+5}tzZQmtUJ)U51p3V+zplT$p#A~V*pR0)@W+KVNdcs#D)I10psp&=PCBLXg*bICM_Jqht94^vf z&b%IU5Hm;o+{D-?R(7#4lt#%5MCMCP8w{k9bdvL}MtS%VlhEdLh(_ zr64dSqFrb&`X2m@oP>UaUg5qdLGHk_p^f%Ec!$x%IRp4{d@HH7{DL3D191=h8fgOf zkhhUoib6xs|6%d;H+%s-nwArx;A>k3-XtGT{xdhfF|^huj5+f?wBL5y?2Du_nghck$`O6gZQYc+&7Jes2QY!v(nY z)FCsm*A4uAXcBJO`Af6SXCa!5X5iOwGd^uU-RG8xE=H#i)99rF5K~}paTQvCx)5Cm zN4z7C0zQNgc}LtKb2PW$S;SNgi3Jn0=_Kqxv?V#EW;d+NsztAmzl9_8b#xr&qM1X~ z6MKnLbV_Y$c{Aoj&m;!WN5tP`Ch-qxOh*GVV(*AeX-YDswlk!QPSZ@)v?DpuFNr`R zle|GbCA7qHsSJUka?qaeCj#huO5K?HP^f#f@-Zax9G_=n$EqDh-@dPllSQ9&_ntbFqJG&$Iy?^H&iwL0v<_N8nW}3#6F=1Yj z8JdyIyA5&7dHR>@2hu;^Ns~sXiA>FL`ZDc=RcLG(FLFNP3cVzYixQbgVijYr>8HKO z6R5k!N%NUp$yhTZ>2b_1;s(7?vXE}X-I+n*IC>J9LyVw5Qgz5j)nrW0%Qn{jMMZ(s zec~)KmK@JagFd8|_?y8ruSbu`al$^TLN#~kJLo=U4Rev+sj;8~7$e3LsRSLE{frwU zMRqVt=#yv}!@sR`Ez>8NLr=xeVUD;pG>`Y%F_Prb|M7D7FFc(T61S?0>Fx9h_>GOH zx3m4#xp&pAeovSClZC#ew7A?GUW>2VxDWmWw-d)h@`<(Rk*Qa~iTGBOMRDc^y(WBC zT~=w-BD1tSH(evyv#h$Y{;}AjrN*BSqm9bmh{Xlh!ti`cDF#{sGD_1m3G?n!pvA6VX{xzf4q8Q^p=RTgt79pvnBTuw! zf;`w6l8cM3FEVFeOOuPN*oB+ZHpR!yJZ>?_7LATfQixL=dQ-cWRF@>ylpMi$H75fQ`gl5S$#C0p3Ij#=Uh z=})ZBh4%+m%t=h&(|C(1Thid`kH10ATK_3|R{PZUy!0KDz`m{>Q#^^Cm;2q)B6e5y z?Dh_LWQ#Zs}jlG(|a)3=aXMnmbar%*am!ki^43l}Ebn*FfDU19Ab z%c&OO(Wi`zlwR4s)O+cIpYW6vN^hIQHqlWXkhz|*N`S)0xMY$SD&6(Adh z|MER_3K~lPkBsGgog!#gJQPi)*V23lLR87Pu&`Od=9iMB<{m`VK{UdKm9AFpj zjb;As?e4GVY_+HXerIlgfm|akz)#Y1xl|OQ%R}qRuP{?l0ENjR#)cnhSCRJ=%G@AQ znb4XsG>)EU&jVJRJCVq-q!;5w9H-;BO@TE$raYU?+%z(#B-jOe(r`N(CGByXq?tSP zH}yz~r^H(@oQtAIaHF}AoIgslNBMRhF8an=anW=xeG?nU{lq75Mci6$Z%vEAVS}OPO`}cKQ>K)$b0vI=4IILds&a7b&VU@mqtB-|xps zxBzYy70T(Yg1PxSKUs_va2vTHIt((U2f%$? zK7D|Dv|x_r2ieOc;R{e(?gBH1yJq*@`50p!nZC1>w&(3a7d%Jp_2FC~XZs5&qxO~o zof{3%3FZ?Qoj02^aIxG~&Xm5+<#R(EMlwtv8KN ze^Q02!{m1VBczdgyk^!4-UesUvF0RsXxNdGxnc7c=5TKQmF#Fb%@|8b4RDSqQ0$UB zvzhcT*p)p%c82H0>BA!fqCB14$FpkIO>0p!HNHUMDJ3nAp>pP%X~SHQy)bi)_Y$^S zmBKz@)^DXUc190{N|7pduASS?Om1x5%Yyct6C$ULr6Ds#IpwS3cXCq4wlD$ptYFn5KvW!)-myVu3I-O<%% z8|Gxv*3xWtopxE!E2%lViOtu(Vx4Nz*ySaUJ-$0SXwUf_a$YTMH_GI?{UX)`kLF$C z0VC>??X?}+ZC--s3}-vdcqJk??+U$EZRz+lSMNTl<6KammqVQ!_#`}AItWb8Fx&0o zu}8YcwH;UE`#F(s;U~4Z3RiqH&_>*72_zL(MVGyT;G(VU3sih{g=4-4$gNVf@2t zCYNuTn=oRgV)bX4mC?qvc-?W$aKKvfho&j;wC2K?X~3%XbDFPJXNuf_i<)?;2QXiq z7KPNcSo+S41ORX|cvG`m8~~U|lGJmJlhr}M1I=%`d9&84-)riPZNVmWp8C(yV{@1I z<{G&OrWYP>b%3AF=}>>tGywS$gtu<~$?FO~G~YCo+EXW_JaxBR|B4+)bpaEp7T`C^ zUH32LuQRB>bF*~U0DH_F{;7VUo=^R&{)>yy3E?liX7EWJtqZ0SbmxE=T@ZB+5b#>U zN$!o>hZ?~jo#tY7zhl8@95s<#sJqjz!i@+RebNLPR zWxRmOrE(BE_z}KUx0=e+O@^0IQ~mOF$H3`|jnsGmTiu7=kJswqe}2Q&riD2Z+vtg`*a$43xT&3GDY1wzz+z-U-TO$OU^ z{2J`kJ_^vCF^UfU1}Lc)noi0V+zf}rmGD<-DI2YxnmoCSN?{qT1GE=@9r&^M08B_8 zGT*C%p-%R5>Y^`yQ>hlUCpbqxIQ=ZJ!TyKF$k!aN<<5b3z$siIp3PeYvdLlk4*EN$ zqKh@w`UGw{_Dq+~2@#l{sIlOsEGxzw8q1jRp^?^W`e>;M@7>SlG60Y97_el$K>wOP zg`sQ^nX5_EoTnG(4e17XtZq4x!{GP=ERAs1454>)CHj%!h5A|?M81;0UF(AYRL+E;t`Cuy(2{KpPAdV9&HGJ^yfcsoih%O1ou|he}+X zBt0db&qto8BJL*}FDaJa^>6b1F(W2rhTJRL#n)j$mc?GkdgZ5*{}tzNzM@;eeoISb zi?uh?e0^P&-=d-I-Ze)Y!UJB5>{Gh*S8JQ~m5?0FU%ZGl7S-j}iBSDJ@p;pu$$Iyl zUYFg*EJZ}C*;rnh!}SLCO;G~-A+;MqL$iGaW6}~5`M%T2F5zbwv(;`pQ&M{T5<_-t z6NP`TtwEza4VyPjyQep*wwKnooGM-*Y+;|(;`+f|hxO|+nn>P1eBs8#XZkKlBa7N6 zYdvd+txZe5tbb`tu@sh*VI4C^WGf9Fk(sfp!qFqv(^mRqs=YL*cD~?|K3=*V$;!{3 z6jWG?1#;t!UDxIeolB%Nx4$@%;7eiy=L6+z0 z;oodM3?X~G45JNl(8TeIZYy&)ugENVcBDvGSLwY&5u%VHcf3l`&-!WQh1y?Pv4#2$T;Np(xYHAl%TUU?X)ZXo7S7&ipKlP@z0u{=vlf2LdanH7pui>^+K+JId2g`H~8-1#&9uoHa%H?0XvNUOLUMv+Q(SB zZZ}uLoiaq@_c#Od5&MOk30?GWYl>(${Y?E4CQz?aZ`6hBn`k|E2Ome=$HO_Adx&q) znfO@}t=tDLMcB@b4Br%Z7IhwNial4(F0dC2OX*VXv>}65W*sxE>l(zr<9cRT z*C%X*rjny2{^Xdh?^uZbKW>vwW>QDL;i>^Y_$ek&<`p#2bwuXlxe|AOagF7!_H zYkJs4_lEh`MY##zxO|@yLjkbe1MzhAc-(S8mO9&Z?K3^r)eRixc1X*(%iM9pR&F0B z*1UH+rai7@JKj`|R{x>3RzG&xYH_;iTH`rzmT-_?FW@3Cd5r3IP+!#sHeJ^`tC>v` zjMN&MXD{E?dZ=|8Wze6A{*o?jO;TftGnzf=1NpbaD(^R`m$qBuJ52=sYf+I>MTB{)GkO@ccto-W@7aj%}BvnO`@vUCVWmx)fbY_wRmlsJ8C6>bcY^-E6A8+Y(-_dq%9)B~a`4FQoD)dsxCb>2~UR zyECaQ-7nWw)Oy`+T>&*XeJ$13?FnX4N4mG@7E!snZ8~@OME76a8>qA0g_MtcHq0*! zwY6O5YFw%7vJ8X8x(FVZjDpLkdGOtCr7jsh3{+8jC{rH2Y|+Vet-2NPh(wt#7x)s&#M zII8QX!t|@8Keb1wc|0%})QWasmU8F0DBU@30$n4SMDr^*)3w+aBwugCbYYIP&TxmG%3Ew^ z&7gbY^I)a71LufDUKtI;4AzBQ3^+)M=Mxc4F3+D&}+(Xf4=saXq*9+Pg5i$1U zH}Y@YI7V9+%*3Mi(O&i@ZNTh$Buu)7>^YP%N`DXiV<*#-*wu%=K;P+-y57M9^eb9P z+|<3LFQoj^eWX2z1=tt5n7Kk<>)|CN`dp8Wc7`UJd1e2i=U|SS_dQw67-o}xX-_4Q zrf133`q7L9xtM#XGv~G4PW)ESdGSLyq^F<$(({|fOkbk0@44HPTRckdKsGapsDfRl z|3ts<`A2u8$Brp2hnVZ+xZa&nvzcR1Fyq!6DG6of_JlKw^iIT0*xLVxZoU4s{;*bp zCoskOH)J{E((`lGCUQAr)_YL@u_ujbHN4xfjk(3WAm{cP>2sKAy>VDR6T;hy3z(~D zZ0~pS8@-wF=`rV$dK(;jft}3EuHWcAjCdh}e(s9s?P3?`E_1oP**y*VbL=a1D-jD_ zpYS&VGPir&d++vCF#`Rio@)KJUfm zzDw4!xM&O;R9n2!xHnxosL#+m-Zp^`9c;%|dONVDtRs6QemeWPs~7OsoprzFoptQH={LP^FI&Nw@cQ>Z`oEW8wxYg;n?3t%tOGWP zS>L{fusXJsmD@D1o??(~SIAe}rODXgVM`ZRM^EeR>P^sgu(H%{>B-&@twZ0^K9oJR z;M@d)^@s`#dD$CDukPK-6!+@b#r(do2S*QUBl===uaU#-{N94T^4@IbcGyXFz4R12 zT|`{!dCA5=T0>0NQ+5F* z>05`$`(Cl-(x>dWbz|7NzCG-gp31(-Vr};z`-AN@ynvVW4DXsn%b-3tuy;exC~j-l z>K-$0cVC7sk*exlP5;L2=&|Oe=`FPuUDNf3z9EBvspb;Udb*aop*zG4nKf|E9LNpB zrQAMR#6{`@xJ0xIzM+0Pr^V(IeAvxjA1MjZ`{`sohneP{>Yiw>y5}xFp16YljgOIR zlCY?$WKC~8vx=+G|J&o_KEa~5yiU6U8mLI#wzY$ zS2K57tuBYk^T3Tn$)CHn z_PO*0lKH(=eHV!Q-jcrJ-tB#*ebz`6L-s+`=3WnpQ2P?M>Oa(*)-|SoMAwbpAEas5 zwjRfR6Uiv8P@@*_>{^dE_f`Xn-k02^zL#}9w&h*@Bj0fq{YdZLt}k3BRacJ2$+@3g z8K~)f+vnBg*j3m+TwC9}qNlNU7%SA6@k>o@>(`N&drm-IzaxJ>t^??1yKXKB}D z?c|#InwBo9c8$bQyK9Sw)>->X>Z<*>_ipbJ;oLCZdyD;j;m6*1-RIs%Oc541(LLfy z=zPy$?cdD0zDWAkk}&NKNr*5~+kr-FH)_ZAwT)lQ1~e_u+V{=rlgDk=hA&9cdiBlN z^Nn1t-4_N_%un2{EimlWuHp5f8QsT-@-+vwp?&^+c|78^K^vpp#vak{U^i(OYwz}# zXz%xL)mE51>|a>0OB>UirY$tgp7*?8DE016^sm%rYmc|S?jH;nYny88v_BU%XqRi{ z21%81UvA3m(1X>(m$qw_+9&E0@Kf8iE-Rr@TU-CCW(4 zfKjXW!NW1L-42p`sdpb^GbL?L=(O(SIm;~l23BvdfSkKc1!KC<{(f?H*B}|)8zMbQ z%Dd`;7n(+GpcmJ_bBj-fzCWAm>i6gi)!rT@()Ra1Z++Ra9xLqKA@$QXpvU?P67DCD zUU$H&Oa2?%Mu zqA+p%PxjOjhZb6%+_6(Q)cwF`8`V-V+G}A&_9S@V3xE#HGeQUY;+9pESKV;lGVUdi z<&`k}j1fFw3L6GA19zK$sS^bYD>`&l!Zi4Lcl!W0kRXT)hNy)Vqv6LL4~OT%8l7Qa z*$8Uj!WMSm?ylnl-{yz}wWzmhVC1&S+Tecc8RLcq0*r?eZVwEv)OT(a-XEC7r{~Og zG!P`zcjixdHegcu&DlY?uOiV(T=BtbUB$dY=a$|4x^-Usih1t_TEZrn9_oDCY%RwF zR#!CEmjv$OefcKyF*?GGqMhvI=F4X)=MP_9@nzuMz{BS0@=+;9gIYJ^K^M7G%erQf z{FTC5?kyL(SPXt1_&Q+PO7rK|%1xIC$|ojCBc>0A$Pd(63?8g_Gf-GDgTG(69I1$s z&k?V960#FN;r7D^$Z_lgL75xXOd0-wv#)kTiQ) z-fJ$9-kn%cBz$9}S!({nRXKQBc2oAc?XOm9@V2a+uPZD5`ONL^@oBePAIZjeKanjET;bp9W$P_j zSZVp-Lp~1@_^+(Nduv;H$gkF4vTv=|2YK&A&%Vy0igyDM#@-`J+oXe^T36>x_PR27 zvu#q!@xg!FE(|VhI>m2q+D5k9wP(y7_J0&zcR&+M6Az(-fW3l>1*CUH5CM@Uil``} z*n2?{>|LLrRDsY#LQ6tR?~NpoTu4tyD7hrq`?L4<^tpuH+_58FZpZ3OMoTDj$mGM8; z84{oHwo~0>LgC(mf5KDW*9(o6ijL@d6tT4M7-FU1Fg6+PkBcs} z@PB$Ss}f+nzQu*XeTxOrg>O1MUDx4U@9z0$R>7-wD5lVQyzNxGCzM-VTDX1T$F{5O zld#c+FwCCzKNS(JAEqp8$2a|{ScUwtE*;8k$|2QQMkvZD%Hwd-zI z6)6nL#}0h#rMU~QFjn3=_pnXuec*0Lzc0@vm@hgFdP=!w@k37@OE=!f<0|;MHj}h53D#3->qW zqGzFgGzBI*`+w!TRh)@@)c5-0?nW`Pxp5BCAH8yq4>HYKj9MnV-Z!-(1vU-&4YIfd z*eQyuaChOZidjf^B)QQQ>499gGS@%0Df+mtaF%cZ@=FV+@k8J8K3-#*aGx+z7=gBr z2|ylC4QmTV+8hZ*u8V{?%*D-{VgrXkNy-;=aoIAhm~#th`Yjqm*Cpktzof^rK>3d@Dwu-$RY$iu=3 z@Q?f)J^d^0EQ=rFTp+!ja6=&8Vi;>Yy zrO55@XV92pn^uOw7%zpb$f}gX!bOnQI*_S{4>oinJA=zIbm%X_v7`rEGn<|_+2&>n z?+aE>x=~~++SYWYNrU7?Tx>dVhKpQ`{37f>BtU}5!lp-l)V`xlGn|e$4I)#c@|q4N zr3+cYn?*__4O#2(4E^%-bWz9p%T24%%*<+NZR0fBi;PGSvNbtL_`C0!Kq+j5)}Qt! zZ&V=aU(9@?rks9>Z2*}iz87{zS*!_SQ4HYLIN zO&(hWO;6DMO$F)Vrlf<~raWtCHH2ot>ynbf5C|9D54Ke(Z<=#5bN$Q+YG2}Vpy^Na zOjK96t-rkp+_eV1E@-TY+PAO5)HI&%j4Z`%7Tp$py8E!nxocYU#O=?TwluwLYFZv6 zNES`+o3s47=tJZBHfD2LaE$2IxdVN{kR?MFC)^qpBTV!^-S}Tqtkd5n)SOK)d(ndI z7eX84wVey+L-q~Xwgd7Xk(^(OTZn$@>4vlyO+uy#ry|vU8z39YLGCI%E^-lhgDBQG@9G>e*d7gmc3`iNCukt_VaBQu4otldPxeeoh&)IZJt zAtwbDiS`RmRKS{dHBJiY4RVF-%?BNLU|2MdQPJFbIa^eWg0<8(E5oY$wus&cIaMc6 zP2qW{;oZ?9tCp#P9?`4a?#;ELdyO+%XA9nnuAp`W-i_{QZb1#ZN}zi+_Mt?V(h8bM z&8-<{o2SO-i$>4F`eT|6qVJ+B&4%6Ao0~+f@qhXzie4g>qSMVY`ZuG1)di@Nkr9G< z{coY;uHooamko&*a$gJAA@`tmRUAP*7Uc@BHr{SNf=Vmw4tym#DH^()iz07=_n$PEcj5v!h|f|^%kyy<&{dW%XBEbPCLv`}!luyDm6RKY$c0UTYw z*#q=NM(^8R&_rk)l25qKw`WAD>N8AX+R=ehNPpXH8au9YYO{Y`=<0?f$o)u{)XGW2z z(XABfKsAjT$q%BE@GJPIf)18mtUEi^in5F8gmJHzS2+g2Sl`PfRBhF(@|jL2{AN1O zFJ!k~Xm?=+!;1KK_+JMsRa02f)@iH+0nT?8%S;V}P)0&w9;{ycs>=j?1uB3Q7rU5s zA@}a2O|b3om((S36*g`3#W8iucQ$^YCQ)Bd^_YLDX#a!hQ^fD6!57CvpHm}YFR6jS zv&Aj`?er;;^?vWExYV-nP0-0cQTbz5)zRMK7&y-N1J$eZ5mkWr)cFm268Ejj4Z>sp zN=>MaWhwDjt|hSkqY4Q*b^U}ftToN$$~ue@|KTLqlfQE##)O|lyN_Q{{RqFaHpum0 z^>)@Y+EI+&BL|8@*vi@_ev9`&^oNr-9j#sx92qi)HrcTd)3kM&R*!Ymi%VDtaT%*wTqlm9Ay{sNT9#*+2O*C30<(v9 zo72uprFF4F2!FU;;^Ukgnj>~40Wbc8>0xz=f3j8*elRIwb2XkdQcYy-p{*hGh}RO1 z^60F&*o}k)wut4A^}=Qoj$IY9)T|SPHH*Y79cxIuhOT4DSPYhyb%C}Ft7qMyJ+B^N z5&2`R0sbA@zy9%9b3r0D0ehGBkoA^Ds(r$G#;T{iVErpzi>)NI(6X@~#QUn>v%ZQa zU6_V|qMuo_5RHWIEF|G4>o}H7+kmCgwu$MG&X}~%UToTillJWM*sXQmh%Y=5?HbmN zeFH0@O=c&Hr?SO_tq6cHzj%<)RjnY%33IS2!V^Lrc7CxXs0^{1o_}liE+yd+LCJhe zIFIvYht}-pTqXS_7(2J&=d(A~UdAt#EN1txz1f}YaLIPkPp*RTinY2nzb2=2Cx_4F z@=-mJ?5vw}i2LAQaMA29OAgSJaB(CwabeFC+(!CZ&JNm|uopFt*t6->-bVvuQeI8i z^69)^%mHo*sjcKNv%6C$KElpra=5d2lZo4iqtz$b=h&XSW9-Z91JKTY*zzZoMvhyp zWBe_4AL}lg?R$rv!Q0e1xjPHWZVKmBG97wa*>ie$z0Jfd$zdo{;V^F#{RQzF2P7U6 zuL`}#{=&_oTl3u68kco7AC4vB_jSEVdfK#OrIoj;_hQ=zQXaGm*dz&oVl_yT29hla z&WYm)i7i%CNp#oI-a6(OE}63hzlVNA;zIm^`@A8ES;cK7dQxa5pEn%FpMo+kudLuo zCM3j5S|#~34tW~AK+M^+zot!+(y4LT5$If|k-&II*F2!*kakLBGfr^k@LutZ|wn;XyQC(x<>zFb&fpLPemd#|Rvo|yGL=&6FRI{WuIbSr!3$B+(o=w zl+AS=yd`vBO5-;kbyhWb zz~`KN;7r&&dPhubt*Gu;9Y&Ha*?s08ZkL4Y)+3<=;U$HfQ@~@-J<;FUlj;_7BAJO$ zeozGyw$&p6HvF7r= zaoxEaI2oi>K+(nE(lp6F&K&N0;$}P(kat}tvA9P#_ascR6E>fFg}a@1vAd8IL%2jb z%{kS5jx#KofyZ@T=2%M3A{O?pmTbn(#T`QY!}-SS0*W}tfXdDxi37=&!;$RaFvTY% z#hf>6HIPTzR`V&)7Oh1y zM`GY2PB_ZWl@Cr#)|e>>qGxFZT(3 zKO>rZkShT`khd}>JHF)<`gpV~XdmmDSodukjGWDAAE@v3<{y#n6Ak(1CoB!BN;LYv z;Hhw{^Cqm7ieJPGek{R34w~zl@r{;v|F~ zeJmk7w7!aL^Sd@p^E9E$d8e(B#VJ>Ni-Xe9L7a4CBJ&fvq@)+sj_eeiK+ zpYzJC1h19Mm;PSTN@;QLJ}x04O6n;+z%8e`pED<-=q}Xne*I>v%bUYzXFN&D71!1t7bp9xpx+#E0XWGNa>qi2^eFur z*XDd!cA4m3dKgZXl@=QlKP~VbX>CiwfkQONV*$)*eNJxn^98RB`&9bji$W z{|Nze*G@dVmH%lI#?V!t` z{h+uM58tzKt9Q|=Ec~z(LDtiM`mWw8CfJZ$sFON&rR3PD3ZpzCeDfJ8KJy2!*LYT4 zy$BohY97ek?_@Iw-q2g^7k39v+^`_A#DDUJyu9ea<141I{~lZ^BG&DKGMUd zu1beER-r@mQ~cDy`Mqt9^9M5q;r!;cH-d&84!HRAmTo~f)E(N`AURzT<8dxGJx`2* zVxJGVfCrZiZgJUxH}USB5$&qkBMMBCUPyboX~(*L>0_^n6N>C@gN9IrJ`b6`aYwt} z(aBPmP~S_Cc2*r{*zuPzTFE;H9SUmB$HYHm;zbAddBaKz_c0t8ebOJKdtIJlt4x`6 zb!j-xwfEMb>)L1y+P$g}n{#Yd&v}z+TM75ehcbu3k85|vSMTWrGBH5r1ti0=9*8wY2 zVbfr2%qyz{E@@R?rJsVFkNhbq8DzOAVxMlBv;U6O<(gsXyfFG;3M{S4tLvBa>mWA! zm0#}CpM#Rx_}*^m$}Mg&*N+pV_V8_1KL-z9JKy6VLku2;_zSwtIJ30RIVBa8`gAQ> zS1ARhb-3%%CTJcvgFo`&_b%U&uI1ekCByZXFEl=^u!$(=I=d z4sxt-JOVzjl|UXnSNeX(T<(LtX*&{RwcF?69Aw+2JoWa>Jmo~&H8DI+M}Gd?uFK5t^+&&#gmx{GpB6ercTM_de(d(pJO1&C|Lrbm|I;NeBQ?I zf%K*fhArl5dxhj9z*OQ_;2Q@zt{neb@(s61(#L*QGm8R9GT5Ibn`+>|HwgxJnEnT7 zMD02t1Oa=hmXcPem@xu1PZG7oo{eH=K-b_bqH9AO2L|5&SFJBV`t3Bv=hm2LzS z%V$!&dE(Biyb_8(uOru!62u$ghVTy3!gwRx0|Y z%2I4DA(>}kOlA52-q?o>*X|e~6oAX$aN+XjjQ8BRQd{09?k_GMP*?Bfft#$m9(Ta_ zgn?jkKwY=bVzLA8Y6L4fy6#lcVM?WJH{~?RBD+MnC#&pyAp3-SBQ_NOELr} z@Rjf#Kr%C1@~>-zJB&quZU53!f~XPf;QkQeIJVX|v>mq&f0syeZ63 z3X&4d3#I&`Xc5Iw{$mH^CG-VCfD$%ben(Qle8f%REdlN`0_BV3^%UoxrSdX%G*C-S zq9B;LTyDvnQ?AhI7CcH(_IhHg3??xP$UZJec&8Y+A*7;JVx&@(&y2n}MYiEH4*6K{}Orlh+IZf0z)nm}t(r zP95SNV+M1W>&eXJ&A7s43@`R;uZK-poVA5tK(_q1d=^)MBdIj%X60Bkbjj&!WICf z1Xk|_Vgi2#HHqKL6C(P1uhAg1N&dICRc%Y#rnO%jcs4jKLvVN*i9(JbO{4qw?xTOE z)#H|JU5K;k(s~WDGw9cVo%mP8KH1#fY4pGB0McGk2InL2zBfV9e{Kt>t(yV-0*==* zDW~KUIkQMdNK-gaJPhX#VIFBp?=<`z&U5x+(lSLOu8EX;XT9PgvleKeuHl~$Zxgo; zUIfQdG4)I|ln+A9jigwcQ(mvMjkwuAV=HyA42m1wBU`^_4}Tf8;SeK!t@ILS zh}ScaIDO0D8tPzA$x4uy$<3f%_diYT8Yq>mx?H5#2)js~x(+e$jCV;&9@r=c)6&)# z$c|ARoz-C{sJT^Nd9l*(yt|5@yefrusWZ@fyry>AtWQsz_1muvDaTaE$&~ntny+ zFlW~SnO3nd;}XU&SnM>f5oa|;+^+y59HZAwdQwPdPL_1_gyWveSc@-XtPBdnIVRn_z=QE&qL{C|1t$=xZLrtU)Hk4njD1fk=vTEO7=~Y~) zu~j;YuL4xIkV0oa>b2wi12Bk7J6Mu`67K+CYEA&903TOU@&?$H_l;c*c$8kjm(CYE zUdK0eP6|3bj~3G{nb3s-woB%ebOG&vrxF94?S&AEWS_Am_>$uD{QA4E1{T-TTaWo^ z18?vTh0w6Zs?X)Y_1^}Plyflyt&-LeYB`^j&+wg}T*;s1q;6dX55QcVwTK#CNtdJf zIzHMjcYBsi6F))lJy^uwrlj&a^3L6Lhg( zB6$?b*PT*M%pi9_J}69{a-u)$?u+o#%1g>-t8>b;E*F$PL}!&(l()Ar3!Soxl$VuV zNnl}t@}ly1`%UE?WkhhH@{F>m)QJ5hqjYH)-vAN!1k)9WmRrkb0rR`gN~QtV8wnc)9gP383sh%lG!O#dPtPv=#@>}&@@fNZF+*9H+YtvZi;x~sg#ICfB;ti}S z`et!J@sEwq#Q)-VV*bTf07t8vj!dWJK^Y7YwQh*__-f3MC_m{gW-!4W@h=`V|2njD zaz^|GUo75^y~O&a2&7$uc0X>guCrRicuXOyR-C!Kf#pP~7B`7q325;FR=v0l+A--6 zk6^eMBPJhX##{&(Al=8vsE;sDFi2JttA*7q=855Tba5)}4j0QJi?OU@z-m>j$_|@J zV~OKc(W(w*jOw(cPwayIPljami_=wjE+F1O5RqhJtym%EvkuX=6TIan@f_@!_$3PAr`b4KJ>e_suh>HxBLP5$-3!!>7snH&*urR2Se>M=x2kTf1~*cxI=*S#OVMe<2GX*Vfp%LOWFd-&K)Avaw@dMu zScCXMBeNN}{q&8!F={80hJA)U4|>N>Q1pU5G zwjO$Kj!0M<)`lVqGp5#CZX8Kdx(?%|J1TNq0i z0a6X;Zqk3Gb1pZer}!hBY;H8U%YSodY@`FTL4CY7OueF}kvt?il8huH)pyi8xh>?M z9&Kd2?3xUxwoyB&{^eW&K(cEyyJkN-y9VFIm))0K1kNHbh<=%jtyTvSrLz6F5%sq_ z0^fq}iHa=td-*=eFpf2lhUr3elDF}KIk7kdyGTAw{alWmjgoKaM6v6L zi{)YRjl7myHI$c(VC+}QbNQy)+3NR$7YI~O;dK%3 zwLbuA>P~jPkZobEVt!e;f3$e4I;-<6GQ zRAAfAzOj8%l%bjcO|UEU+;Y>(Vx^dp{@PCka%o z)hv(|4cZHgaZ%hBt2CG><5ANwf8E&v@<5GQR9bsj;|M#d*)rX5u8mr^CpzP}Ms+qj zcmr2bThZN47OK~%+tgW7{KkuPf+|Ml#)y+{?%TZigeFyXO(Rv`C*Ii$XZ-YS?0Gun zlE3428{A=yiC4eN8GVUcsbQ&7x~6c8H9v|GnpL^+cxR1|`ZaA=jsM)yg8lOEl4SM@ zMK|NOB!oDzb4;B%9646K?cFCy`+6_Z zFC+MpoSrkiFKJ@ZLp7DVf$7-^tNkkDXr}HsE6-=IBQ_~Bh|S6~@}=GLc{pXC=BoUg zhD^4X|7Lb6C#vU^+Vg&Pv3iSlZLBILw#YL(qX0W74)0X&wp_a~XLvO5C~!5ULJ|r5 zr^d@^6f}FWnk*k?yLQYatzTr#+#}h^e4;+>_es7t{jZAtoKBgkn5~#lJ5@bbF{gAUHJJ}%rt*orwfr9n zD$|RPqfTJ!=6+Sg&?3E#YSstht3y|w(acmm#$3EZ)js%ZTK;&p(VrHM4jb?!{4Lol@F;S%1H4_+zPRwWu%LNf|6Xw^Hob!v9zC< zDAgAXij|>Cprt`23@chSKp7BIsmUtn(uEL)DCsH|nI)!)6LoOxJ=tnihK?cTidU;r zbpr8j+FIRdoJ4#O@el2R__PXSwe!ua-^xp>7vf{sSK&0Im= z>n`D|N)b)izQrNI{VFY2tg5CrAm;0a2>(Gap#yq?d+E|(Wr!HMP*;iY zq=>Nu?3l_#Se-qjx=(mTP-7pcjM0x&4TvQYB*Is+iBO4UVQ(Q8Yu43PE)3H6u(M>R z)GW!nlRRKEC7k_@ld2|aDoH_{%UncVaOr&AQ{4dY7fZ+NE7>4_#Y@w@(Uk*t)G0Ls zHHYawJ$M9iFrxOWZW&V~xlr~>HxuB=JFh-WWiwvO$=r#&Ns3$A4{{i8SZ=2!X&q|E z7?Y`cI$gT6v?keQZi1!`m~aVf&yd71AIlcRCor|W4*0*ie>A(eKRq7N^P}!nYGqX# zjOIFoAnB)TV^B0Zf#@L~t*=w3rVuA7QIcWx3*fKh341y}J~VFV4lcXKqTZ_R(k{_X zR?HY;a90syhk~@8ybBV0t&4mja}h8_t3#^R<>KdR~4NI64mZk#;isGd6XNL@KZ zEgxebhBg3gJf_xD!KS^q^@u)Gg$U_n{#5iZzZ{;a8b!1Yz0+i~tA=JNutRUuwL>W0 zWU9M@(_1W=r})G8QX}kLpm3)iQp}_BwfJh)fKZFp^=oexi?z*6XShOpb*fy;z$mqu zJ2l!I?oG{TfnNK7R8X~t`;xOqdU*&(KEktV379*FOp?V6JsD{5l~)OiA8MbJvz4|i zLD{kS6_xDP10klnb1EtwTq{ZhF)4(U2Y_g=ZGI+OK5nOVGE z>_VHVn?S3x+a%t|a@QrTo2x6<)nYsl7g%9B!yO;m71lD{UC3`5rCY9Bp<`oW^~Ef2 zm6ys#)rkpKWiBt3TQJqy2-QOjTpp=vVXe{;#H)2_wC%c7+AiG&-7*57>`&ONXIF33 zVOcpu2t7)-l8~y}tK-YtSeta~R6BK9x*SQOion{V+pOBJdymbDOx6W`Ipv0aUf2m$i8xQS0$ZRmvL1^s5Q=r@ zRlivmRToq*#aC1pbk|jA!aL~Ap+;Avx}>X9LEckVh3dMlQq@iS!D`fBA+!+cRTJk~ zvG*nYqbt`DX?JzKgnv|@tMO2txRYc)!j9djbCY->+Eouo7*$r?0IiQOTi>n1>IP|C zPN#bLQ02*9-5Lf{b%nc9I*~D?e^Hmt_^q-X;!37=9{`d8V$XukJ28t)@ro*~w+IyWs{tcZ;eYz$xL{B7Btgy!@vumyJ{&(qEDL8b;)EhDO;RI&V`J z0Yv3heE`psg60(I!+XC_dO03ickM=P0_D4!Bp>7cl+Tpw5ocY_6K7-7JNF^h80;yhbTn&Fp35*{Ss%8zlfwEWw8uLbQjO1(MV9V8b%4t#;c`nBjFzgn?K)wfiQUq||a(7#65| zDZZ3%jNj@61C^3!C}v;deT%oNPF}rR^^?+~#Z$I+epBBd?opl9b68i1Nm^sK7l44W zbw8Gxe1d_OhPCu>!I<9l@|(FIj_r5BgfEWVnUY=dQ)g|sSSOON227gzZZFPwNrS<8 z=&~V)*H3AeA%|YqRMk4-hak_>NyFsf<24_3Gl#VjgC>K*0`}7PNt+GNC6k7)87^>s zVXhi38El5_5myag0FnFya9Dj5up2h0s|*u*ySgPq|LNV?MS$<{8p$TPU6`HWp{(7o zQL-SuOLGZ0Oy8#MG-OM<4O^K$M7Ckm&=Ym?>U;{{@K8Sq7_C;ww+@L7r{tkpnc+W~ z!thn&4yX+eD3jFSK~PQw42I=~DRPs~sNo7?rQs#TU7D)hti4REVsFq=D1X(9u@qCk>@h?QztCk4hiTo0GiZkm$9da;x%g?rxrXySCk@@aS=1E%;85Anl%cosX+w|I zj@0U*JK7lSBML>k1yM}=sCH*wU+JQ~r?IQ#(xJ&Fm7Zf}}QBzVK z#iuUPzp8^mQkpAy4<}kvx=hI62o@rV`_e?E8ew{eEr-C_Gd(Yq@Jy;V~ zaTkZ2{Xktl^lf#^p3}oBs$0** zk?pFxOt+B`Ii?pm`*nA9$#U2%N{dz5Fxn=+D!%tBeOA{@+1`?=WQ4;4*`>jA!HFSW zvM8yKtTss>7bOjp!TH5Qlkqm(^A~oeES0SswDwOObmp#*jp0MP>5LNmvGVsrsyrLJsgnKi&b|jd! zn6;PMG}1T%D*I}zbt_o5y47*<;=Y>4%0c~Ks=NNVa;|=oZVhXi?xWI#PiMK&{Po|I zS(x;+#rg&MQbKw4G?im#xNfFuG9gm8LLaUFtccg!6C@*xRB<{}?FM}UZIj-IKolR) zS7l`BBM900be(Y^M^B~h(5=x8jcnEJ)vqAz*B{o8jto^BN4i<2kyH4EgvADFbu>0# zRd}aLe^&J`zE*!mSFf+r_v$aHSb7BQDGN>bGU7jc9Q#S!O(@be)3Ex_th=f|;%>c_ z)%VT8~x3y*5L@PdJ+Mp>eH9^3iZvpWpxAk79Cdgu((~< zrRo|}p7S2tyIymc?6NBf>-xB_pkSe)l z@EiT6-%Hv`cW0ljy@d}^rpRsmo#UN(nfz;`D%!QvM$p4JEgXMS`xhV#_i9PQp@zM~b4u%mcHkO@JQUNZ%|qg$ z-}=tsHbpt@xPr>tz+X%)Px`0fbo1>&Xb%G!K;`qIG%lCcNJPBdd>L&oAK)#g9^iWt zL!~TAEcGZ~+o0ur?A7zaM(45e_(j1pxJF)w`hcuc!50=r-Q%Tk(-~N9r&_QC%$6Ty-o$`rEe4yH<8~@=MVf$eLjkyE*?Y=1i|g*H&A2v1vT(G1lcs; z*K8She%sl16h{ z;^Nd zXoAMxS$`U}R?h3OQ=X6|jDb6Y$0|l?`cJi0qhZ-}UU^b;AE~*1l9#0$%g43wKIr;oC-f2E#)O`%6 zm^;>`m_ODva4Klw*qN~vV`PP8R5E&Rw0?j(@CLHghfMY8nKA8XQ{We#L5~?2iDr); z*m+}&N1Zli>t8tLJw_b3Gv+(?Tj#*14GrCsVTTzjZ?@r_&mjX4{?`X(>4HIdgfZlLm=T#6X50w#GWx=n7=4XOpW);{Pk6=sSTLgGY&vWM?8!ubSlgB6#ADcX z(-UCZmadzgwQGFJO5=ZHQ82VSv*nT7RsWmttNzw=w%QCNF3fa-(CI-bb}dHe6vta=qs|u%QPD~58$={P4tO!CI(LqVm1LK}}`r8G@ zwOKKZIs15%8H>=ytNuMk-ei=q6l(Lg+MIG=t~?xB*lCO_M?yWfoZ1EthV>YQu2-&Z zY(N&~?7O`&#qKQB!=Q1ByVySyCN%CaW)yO*7eO0kCm8J;{b9Puz3?96hFeQ!-goI( zGGN&>`FSEx|eLaZC1~6YQEg zMZL0T@92EU&uXE3mrqsqk%Xk*@@j4F=m$m-@0(A(_A})rg*QAh_tr34dzW`^^e;s^ ze8ljGBAbyuJbh@NWMnLS8#rbjd#p{W0;qW-g0eoABkLh_0L7EB10fNxciNX@QK0qh zf5$wnj#`b59f3jcOR#5FvyS|QYXkPev>nrKk66EV4TQaJuirtj4&S!fq0shYz>-Df z0k<5ot)>`555fJG9hzudVw_^Mfxb^S?|(u@Tn)CdM!4T&|FZ4gP@UNr=$Y5D0yfQf z9Q^FE1ak-^>^KVA89y15tWJP=V2{^lW7KSSBk22U=~=MeDBE!n{0E%uSO8uJkARzv zg~pe*4N0EK<<=*m`bviziwnRVOAmu)drzxUs0MT>1fyVoja>L0upab-H-TtyStJU) zzxDIBYhXKg6Z%YB{b@-hIL-LPNCMyZ_JSC&Vn-(!8B_}vgYz7k&cqt=MieLnd%!ag ztsh(qs{(r=TNv|g1I1vhQEVh-kwG7$22_GKAP&H&1*MR@QP2REfNC(%$nw*HamJ-n z%#geh&?fx37YYnBUIpo(3fy80gZedsL*O%z2A&3o!EungzVX5f@FNJ}zJOoAHxOkE z90Zpe3&3d5#xxJZ13SR4V1m^TaPN-Cpo8fTxXRVpG~eqtXo2LdGUkI1!0D#0?Ndxo zpz)oK+X_R0b4@NLJP1ahz(uAxCRdZ4u>+oJJnQ%X^fk>idP6ks3=|k@3N~TDzQEMo z55Q%n*`|NN|DbQ9?Mj$wXEPV<1^d9C#$dOcI}gCqQOTwirm2veUq(B-6jO5WdQ+Oo z-SpGwwF(7xCoX{GbSG|tXPLZK<(MK&vBverEwC)pu6g@RyCJSg2lkr;bF)o*O!ZT< zOeai-AW18ae}FVyVA^%(l*z}4c0XfcEIMx50?oinrVa4(rYxh@;kxM)Xb+8chw-{8 z1$M=hZ@T7x##CXtY5JY<-8v9vYpONb+^Cb>yy7jqm1m1b?fX*y;knnb1%h<+wuvFYAys;S#G z$TiI59zivIc1eMW6H(w}(^E)pkYi-~OcTbm$+QF-Y%&=}XnnG>O0q5ML`qYc(A=_qhGaegWQu#soKupzFF>49%e1 zWQXJRAi!K)ht@>E;Juvw5cZHe`=+<&9>P71g9RHkvm= z64b_1($go?DRY~--h9P82!=xAX)-56 z*L8E~Qep7}P;RE0JUdXJ2I|Y{w$_~Q!f>UULaI>E(%NUDdq69Vivqj`#z){hu9~7u zc`g31K~TIUb$82bcPQ(8dkblIGh{*j0iVt3 zuz$_u3E#~w5f339qJy8AnwS4E!z^#i)|Oaflxc53m}&j=3DuvLyfptce>GcKe*B*= z5CzV*Otm;!;FcV_SmR)745YaQ7Dvl;i?e0EWs5Ou%}MC4{48FUZ|0enT=SA$(`-aw zm?ae+WSL=^0C5}%{#&@*^vmpF@wUvf9CnH|z6^c>wMn$ZS%NK57CYmA#w5#J<4Vu2 z?WvaK79UH#sln!jsler#d5eWsxY6PSvjKNl-j40AeAG52E;fLF`2ASsiiTs(mS+EN zzJuUAqXIPf!~GhMHf%1p9`m)?wHcNU84214f;H$?bu$m7S#Ctao_J8WIb=; zFdRSQgV(9~j&6;%Po~e>e%A4>c_}oXe;8xeeD~$X3L$N`fCo)d(AJoJn`*(CpM=aX z(JhZWvu~dQn@nU&8F(A41Q`}Icnd_DFM~-|cuSu}W*MI%viKN7suY$eSV&d3Wshlt zDIGRsNjF*fX)OJwo5rKgLzV&4&s4MJggFJGJhlv5(##FUr+9q^rR08|$UyK(X~IC~re{%8DQ$*@F>_j_Z&m%&4pm%&S5DaOnRv&L79 zueLliu7|~rCy&GZ7L1QvS~Q*&u*mh7W&L={_lk#8O_3(R%q zV_?5I54>iE?%r=9v?ARYziCpLmFBTv1vCS7kTvVf#pA=~c`Xk>Jv0MBs0M^6_gySz zy2m~98{ZJi;_*qAbjta4l7XluPv3-xaAD_3O zs-(KqQf|?YU-kQUOyyf+p*X}OT#HxlWv~5iy~UN}syg+-cW^UU|<`0w!pR`=~r zgYxhn9+l9^<8Q`ajdxm7?4FD-gr~q(IDi ze9JwluiJ^Jy?v8U-6<$u1EyKcxLpu=V<~3t7VwPg0-LRit!*Ed-mi~zTE1Y7lXsuQ z_G|rr)AxeNtoo&`KpSC5lK2AO|bMiEs2~Vt_*o=9sa+(T1Vy#Bc zn^R{MY&~~dUA?nauNB6&Y~sp^M;0t`df>j)ZLdvPO*bv zoI>ViIm=v97s49WS%a21E4pon_rZzFrh*nnkKMCNW?bGj!Rh4`?`dmp->WoO_TFD(;!BZf*;jeC#nB%Y9*_o` za{I@62gVKAG-lZvarAt*5DN+P`$KDnF!HQi$N`NGgf*}bB2~GdJynDIZ zo!gzadoy#lGy9F!g0>kn!r+eThuG4YiW+yxQpCm#w2v-<*WOl>1@nmw)ps($L8@k4 zkhbD*wQl%-^^P^EV6?mi_$y=^_+q`annO*e)|T`O-mmbp*&cWWP+jhAJ=( zOad8TV4SUy0rml9fmBF4O}a@v^5fusQWpsY>(*!|$&*SzX9iHPaP?l&dD3KTAZ*AS zr@hleRq`$=1AM;fE=d=TmS1cihQLUtObg7pU>(RK<&VeYHNQb{5KHJzNS54Ay*pN+ zvSIo;O66c{u!BaEw2Amz*z=gdsU~aY%*`5kg9_^v@hOQexjpLdH23M3gP&?8)Wk_# z)wTdftB+~LkxD@cB)&9xCKkp)@6?-?P&*93!{2wX?OK zt@KX+1?$%Il|~%9J>U}ykcv$xxZpavM36?ILS_SEyFnFp zshvXltg}N#lSEh2C0$j$erW$pr}E{PmyoM5;i_;Mc~w)mj@UDjg17-H5VT1`Lk~!_ zv~Mb(0P1vbC;czA?>0U4ZOZhN!7Q!PSmFv89>a(J+mfyY<^&GEk|)- zHcQ_@b(5qYD?)1ya0R79a^SB?9I&i5a~7<9)ojd+L(;X*gy!s;!BpkXVD6f8X3uDc z$%Seukgy7|4D0e>H#Av`(`Q;t_NI z+fMtsEofQ|5&Bq;-afAN3ijXZ?%Kf4SYsr}V3sgPtOLM!P^YXHBB@5%?6mT2wMDRb zTTyLozc3m<=P(C=38285J7S5FZ{>eLO*PmiyL45uen6^Xoxx=?vRdL`XRz3ui*y@! z&kRO+M*~@WNdlXw0$Gy$*66Lk5(`2;mE+bupBP9H59YJ2T{>nARB5=uzi7*M+c5NeW|YJg7wB zrrx>ES%cDQx?RzrkFI-6uKzIky7FEbY!4c0+;Hcrq}2JUMb6Etx1>~+p}*pEAId$N z>rqBSd39%MyQc=FKh`PEak8wySLGkdI4Ca99-Zp~e4!qCwsN}^n6sgh z>lu0X60;xn^vHz%hN=tyk^6#>}A}1p<>#cnHbGTrp;);xl*}$JXvwK$ zneK->Ag`E)OCI+Rg-geTi`*PTjREr!icwNWp&zVOVRPYnU^md3nANNnJO`o;jnuSk zMd-E9B+us{N_74cmz*OIyAaB}StDQfM4?h~1u z`AtdN`E1gWIl97&*#_BN?*_#WNrmaXP(28Ka7|S^L)=g%ua2*Tk(`@H&l((i{Z;nC zPU~F?({+FJ7wh)!dkD`aInKsOEY)59P;sme)(c~0W=cBL(&QdAqQ&3LoEUvz1|ugy z8f4pkoi{I3An?HPPC|=p<D%;EpuF)93gNmMU8d12zT zsQirR`po1}vd*ORWFNVgd_?s2sswn;`OUm<2$(s3^^*BK#;pCrbf-qO{CKN15Iz$* zbC>+mJi0XklrV!4udf?9Zds0(c}L&`&1^TRz|)F1l5eoxa09V9!X7%WaaXWR;Ct(`!tph8skP_J$5BY-YmMS?c3*n$eo(i;P12~B4lyEKkt*U5$;y{cHi7!jlMpseBG z3q2U+6EOe2`Jgf4q1rk*(Px$HFNd=nGWQ2r`QcPSWIV&>;y(M)g$nC;i}h-Tjm{N7*!Ic2jEN!Fq=%bw<-4^eUx^BPXsKW zE&m+l0p(=l1&U6ZMEGOMASEjNJf#iWM7b$>nKDkeN=eywmZGb35BO8o>mn)fjM5@8 zPH3i#Qu-+Y;`c^nT=SNN&gd7APOll@xzdhEVd$!UrS!e4%avMIZD&F=Iq$iwGIV>t;vMHa|@9T{GX zgH+GzZcxOjkm^ZqSzznhjnonnVqbu`40U=a=z<&-(=2NzOD#ljt$aOxQuvfe%>J|Wl19`kDoztWo<9l@_0&-X>~-hKYF61&Ybn-# zS)-$(F>8p~c2)m`n?!}%#m>7qv$~IMu_*NXb9=!4y2a&DeMlCCfbi`v1YSQRC1-+l z%Q*N!OVoR!7dE7H$6Uior~l|*`*{~xAcl(R)<_L=`2|V%VJ_ypEs^@WZwGbkX&5!C zI)ch_CHbGxG(p_W%qJ3JztzqXRO=XI8)Lrrb&Zm;H@NpHc~ohIc^A=+>$}QH*EJGD z5B1M%KRuLnJo98Z)g+ah5JB+(CAVeDyumFI|0A2*(usP8C#j#wS14uFBUFd`$-ceR zV5*tUDQYw&j50`d((9&95>8P2sF$f{sY{R!>N)Dd00+D_Po6(}vJYWLrp>R`70rj9 zVk@)eJN3?ylcDcg`-)l)&OM4Y4ui5;VVhkTFxgW9bh(<=BC*LuUeNWAKx z%3w$D$yB4`-dLWD1Jz{o1<&oPjr5PZRd=P%;L7-=?Q0gD;=*Jq26Hb=Yv%T9_I;qsphck6u5ur$KiC`Q|A>7V>he z+j4OGnVVEaEIQuD0P!oE>sU#h_vrdu9(MQF5TRN9DD_~yHO}7lWP-(lzB6WFFwnro z=Of>H_5d%?`w=T8&(-CN@d;w(r_4A?3nh_q+|zTxHJ%-_lY&c*>d&D3E80bEK|a9d zQS`#+$c2<|p2ZYS*R1X+rJQ0Ezegm;dnu8WxP3gQTa?p;@03^I@02l$u}%YJmO>UC z$0-`72Pn|FQTY7A=%5 z+@ah9?omjTamqu=FeT8rdf^wPQY6nE3(qiA$|K5|h1Zncg_{s6rEy`Aa+UglQn>Jm z@*2#i4851BDuwR`-D!B=`i_d880uU3a=t3#lFR!X-F)Prxis+d{o=2m)RBdmRuxIH zoZr-h)@kaSS`t<6tM>0brxYYYDyL$^7A70C9Nfp(w;yVo@;l|>yFGA-7gyDK=n)(j zJ+dB1<+eBo01@K{3!*AYVooLv_P3#rB43onMBDipR358FDKEwHYp6kl_3 zUTC({!#^atEmV$qEW9@MT5!_ySj)w5{hg z0a?b*h%S=zELvROSWLCNBNXZyR|FLy8QDU(2z2M4`8u$a*k+yinB z)i%(*hP;8i)t!gQ?`4D-SB2?HEp$F z;_c)a#Z&Df;1A;GH(5p&JK)NY4p+SN13dy{S=gnmu1#(T)h9F#o&RK$s zQ|12hZ;NVAAbmV!@Zv_=qKQ&b5G$}jQBEz4PzDyfiYQrE7v57^7RD%w)S(4h^)7IY++~>U`=+ap7DlH7XL68t zWL@4nKi=MY+1v{Bo$9gZNHf;oX#De%5Pbo{o|LXBuDGD1h>yWj^vq%cC28218kKC<-6}S8GNYMsRD?WKVFIKBcC87{OiCI?}AQ-To@k9Ao@r{FL zXmJ2me5|dX_Gx^)C9*n;RslqGxl%6BOwbj89=5mW8_~syJt^DdL#ye&L_0k&g{L9C zXyCK8-Ce|smJwaV_Iz}-Nh|6Safp^u9YDKCyGIMBX&1966~h`+y#UO~ws4w>IQp*B zka-X-g!Y`~N;#V54hp9I%GigZ=yiuqw4956PJ2Q7(NJ!$lr7uTL3;xwi>N@FuV_VQ zYK_Cu-)RZeXLd4as*x;A=ST}N!-0^$glJE%1wB$kldED4BuS$qRhzfdqdVpA;52&i;`ZXcrQwb=cB0JNLYX(+LSzK7}ZQ%C8wG>wH_Ej_pg zKpYT!%DPI8-c@xr7zJWllA0e@RnX5@ogge4A3~9611&0pv&~27iS)#i)W910$(AGZ zD|PpJ+s#1j%`HvzZJzD4=e>o%!uUPRDD4zIBVeTo-U@5a_pNMBo;vz6nqEQ|R+Z6> znuC&+Z`9K3b-jrq`%1q+zanbMk5)mvOut5dQ}yJTN;7aZxA`$$O>vZ7Saq5Hp1!N) z65Wy5RRyMl!*(@KcKo8JOvyIs&(_hW=(F_X>Wg#+{S@*TolK|E-w;W3l-L;>m!48h zr@x^y={>EimUa51i1G{lt!QRn(r2q)(Rb5RfnS$w`p;MGqsPl4598b<@wKYPM`^nHN=%y(Jzhl5q#iEDLW@i)}NOr--mhpkpA^|UWNQ=TPS%?jt2RF;x@8*0DNNVLLymA zPm!EL?y~-m`dj6iqA5A%Tg7~P%#;F#j5n|#$G#zuGss_$S(DSxh^yF0LQ zS<-@FV*FY1u38R|C@iJC^5S)vbkqK2>y=9cK) zr|&XuGq!o|4LEGXLfs|Cqt9*2M8%^d%Suoq9_6TusL!^D1XZgU+6~6%isp9@U41ax z#FzM6-Cd|`T!Fos)#XKx^!>1#j5d^Eq^1=I|A4VHB(}X$EDH9O5sr#P6;TRbxcl8; zFc~SH3ND9@-ZFd~jPf3%-eLn@eDieodqqsw(3kqDUH$hMUl^-<3##tyY7bjNIWm_~ z!cq?Mbx3n@cdT~~+^S8nWd9l?Ds;=-srMvYuJ3a^Gqh@#xm68T0mVWpqpsWf5lZ%R zP_G$fj-OFxmOjkSjI&d5lpm-@dm?HAHHkWha?R6W8o9kb@)rEbu6gfWrzGhR<_(-6 zK@&BGy0~x5EwC&-XaoPu_eQX{=aJL9>?1)#sS%v2I=9YH}4D!>XE8 z{nb%U4@!1uDsz3N;3(3ZjvzsN5Xv;snXVHN3i_t^nt9Q3gel)Si29(nP4X@C590;= zDP|aZhiTb7samYgmHCN?65Vy33B^9|Pt^U1h!$N9F!42c8r4_{V}f~_@1$zob};~c zuxEUUIcnn$T4pj)UgUR2l`3Z(W|*_gpG+Zh%_BuT0z_plGUu58m_L}CGr84o27WSg zsy{H#mPtbFO~;si#V?s}Eh~JVDVQpsP2|ZiBl9#)iK$8Uf#C)ZnaWF9=zmq}#d?0m z2FRv|M)CnOcJfo_wQf7Eg!j7-;8TH@3lmKtRcz)2vliF~Q?Bl3-WZTD4oU>~v#ahi zdFS7_ZaaxI_2^ziGO-cy^j?bt`chwzo`&LxX?$L#Bgk&)KHgzznrQ}th$4NKh?!=( z)utfmk{Kv$30U%nWfNVN>MwSI4~fPnZs`^?bZLs2v=q7Y5}9G)_KY)rgq~NqF#eA? z$NW>Jx8&PpxFogYwlq0Tu(@byHm1Gr2JUOJL9kA+U-x(4ul+$XDNAq$cd-kswls`w zS}Io8t!`Q(p4D73s%}^+*>CrIK`%hX3#GaAh4H>(*zT7}(~_jF+cWtNi>LpH=}X_A z*e1U&bz&4RU0iY``YKi#o2n&)+v(x9lLOM#Zb^o(LY5j1JaD%-*QFr=N?#gS3QD%T z($XrVv*;UiFoR9!HjONS81F=y`70gD7)TkWYtp~bB^dEdznWwik)8$4NCu7prYkef zI*IHj!;j%G{*|uH*d~c#gf;6kD$s_EA@cwg6zw>$Zz>7-U}4XbcE;W(*oimOx6QL( zk5SvMx0fr)MH(!hW=Mq3HpsE3R< z$R~{VNE=`a`hxM*+X(d@Rl_t#>7WkwPGj$&-ZS1ZG|T3Y!qQ6SO5z8H4Ac?u1fv*r zHontrCy~VX=v-)zMO{Vt160Op@FK&I=>wrN-oKngJ_?RU=|tw0vKbE{B8{2x%b3R) zwp(SqLMr}=Wq$10WPE2l>%r#UMRj@0|50nyvlL^_^vLyb%`SJ=_9{CDWqP3GnOLkM zGXo3F1u;Fs)R}^WZOlt%_&*ULdQ97GM$Bf8d3}Wm6qaZo5G00{jmvZ_4?Rj z$y8yIZLFCdU|Z&^j;kosKhDf=$*#;vS9cMIs!`p5>oT0n)y+r$Lg3)T@6gv z-t<(C@twldY+|p(pLIDu5C-HA%2RpDNO~V{)fE4&`z&%#uOD#Rcjiwm9u9fG7`C`C zgV>vh?vFJk48~@lo$w`l%K+hILD##5evkT#Qh&&b{?w#Wze*mVPPa9A$mWW21A0W3 zA$7PRCkBSO408crm?~KOn;jYO8uQWwLA(e^7*N#rr(Pnw!L;8eDtm;~1P|`Oxsw7n z9D1<^EETs+HnTf zj-Ws9fC~lkXGE*swk(%Rvz3E8xO&bk^-u2(NJrM3Q1;wO^&{MbNJl<8=gYe6_wIn0 ztSw77jimDKz(#H;s}=Dj;fT^r$k??R%@`KM#5Bv-)YC96I6k61sSHRwZGAdP{HCm~ zg)M8(rv&FQD=K3?ZQkpU)0EgwurFZC!h;e(N{R^}jYIcjy!M5Kmni!c{CTE=RgKcB z3j~I}4)4ol&A~sIJSUX0OjNMRu0C&|)*TM0I^9B?Hk_XwCS8H6XSqW5u}Q`@wZ?j&_}hSX;Z_&&Q?Up;NMP1L~**dEJX<`yQDp( zVx;ifo$JO(+&;ApD>u;FeS_HEp+~GWnGfc-74$*R#jZflqk4>?Vqu!7K0nkyf2)xN zb%|3W@dJfI+r>Y6xk9iazQV+SHCDV^<_l{Mow=>Y7`T}--mcUP5gLmkQAw4M2$T=% zM6RoIxhP)bK*Fs%9w>_Ab`dUm4=P7r0>oh1moBk7dmRG0Tt)&zX)n5;-~v<>Fzg2L zKdZlVF-q>+L6-=p)Pfu?Ls=$Ik-b^VsK${iP{p8A+NW`^yti2D($I81OF65w_q6(SM-W&K-F808y^5xEiyydiI$jQj%V7G7N{U?MtKTvL1=yLM1 z^M%OegUgQ+LYHfo+m*agd7`qPy@*$fk9xyYZGxBYw)ue!tX)C-m+`pXu*;%$5C^;x zLziLbLGf{YYYkVGRSkIn4GMyHz>Jj^^}(7cCVSBTnOyM!n{*&*BYVTBS$DCaC)1V< z5znK4R%VTUbZvsX#UKv&gWL+_S+&cdp)}33iW1Dnx=*n@Q1l*35x3_W{5?TG<#Ec~!7m_p>s^fp*M;4{atP$DiKo)7hHI^U5V2T8}80b!d`YZbcqkR?{)|C&nxp)(0;eQ z?zU?(p91?dy-{@~xp8YUBhq^@mgTBAyUb7Qk5|6hT9$h)<=8bK3#<-jj}e`5mB5-z zoNstpB*+0%dUOju%pNw`q!NHYs|B_-+nF5#3S&=N2-A%FWUZooDJBj3?#r*7{No7n z&dbU^HK=({MxEnX5uK%ts&@Y8J-DbA2dfV2{J|o#h<)AtBgJsY30strnCvFzL)Dj& zP0W#mnhGmLLxeo!tbLdLZ3RP4o3VAUy;y;|x~5vugV$CZ0m6B=2j^Are_+^*?auv~ z9-OB@x#~!~jLOkWZ%(b~kZgRK(U)m$vzp^tdaR?_+cT;x#EG>}mIZ%J{S&5*93!Jn7wi?K*U8N^u?{`n&4XlS0({eKR6?y%O3QN3_1am(3Yt%9?_8xqW6OcF- zU!ay3Ylxt86g4vaOgOvK#1TfI49qg8U&1WMr~i5@k3%J7NCz_sH8kv&m%%YBMQb(@$icsj_;jP^Z=5u zZuYfcomf+6K4E=^gotD|_$20?7v(qVJF|jkEwbz}v1NbqtY=TSFTBiW?6wcvh2Bx!3at0 z6#lskOuY6>UaUJe>*;cK7j!%RoJKGe>}=%{5Y&nHNt@73%F{4)!lazP4mFV5rEcsJ z%k3x)wRsY3!(Fpmbq(hxVO=;uvC*RWyFu}f-OI%yZf9poE;+P_!Xmv(ws}1l`&nGU z-N#jPspVQJK2&>#`-Xp6cmZ&>c!v9>c3=KG>Z?L;6e{XxSfF?#wapt5TiewsU0 z98;XF(ZiLa?JynS783Swu5w$<`naQeE9E;0Qu)sea`7(=q}?B=1Q`~e|7b9b`=@CF zIVE<73x&(#m%|IW1fYj|8{WFV@@P(Mki$Q3=?-7hR7WWN(yngbrkZi&=AFs@}xFxyQ z+#l|D+@9J|b2Zho!0L5pqhCSXfk0Mhsx&7d`Zw~W_^tj-phrfC><1?tQCN9u8% z5|8tbbI$(&O`lFptm2q03vkcvE_OHD|K@t5y6QX4#!QBB?@M{_Q zh8+tokasb^$>|ACH}>KFPOLAjNDt=f+MQAfM`#*P`yDnq%5~w)D^|&abF5Zchy}qp zu{$NjAW%eDB8?%Ah~fUSsV(1e0*>Fz(FvI3{u=!5=1!~%kc&4}?p)EaJjFVJ>3^Yq zF8QRS<1`*J_WYg;7^w+QH&vE9Rghi_w#duXm|&3*gDa^gG+dRMDsT^1F0aS}HlXJ# zej84(Jle!kdAXR1NN0)whOuq`B3IBDEgEy%;g3hk_AcP%&a} zK5^YV6R546>M#7Hj_xac>ZQR=mpEUwBJ*caawZuF4EqsGLM`Y*Yxu8BV)b`%_Vus1zAUAsy%-X60kqo|ob@Q|B^81rdl;kZg zo~a?2-@{zM>O>wA>+shHk$j3g!xj}xExCT&Dlj6605V4PoEQ_<<3tYQ%W7Mze zoQmPELMa!lpUMK+$mIqSr9Eqzxq_ELX&>%|L*GJsq+%owW6gN65Q=3W3<|w&9$2#! z(t>*~-~%GE1x4D?KxeNRpvXMx=StgiRM8b^rS?246iBf(H+^OJ_%Bh3hxnQ z%|psA4g9nC8~1~JuEs;)eefw>B@drJDgUzkvRjte?v>wuZ9Ijvv%J&V@5LWy{r1sm z*7bg7^Fuy{N3wllXdNvRds)=G+Ab&F(cUS}jEbYBV5QJ44!yqfIAO_N!K=tu*Z&d3 zBpgjl%5ysJXz3`Hmpr)`biGp4!@7qk0&9iIeoKtxHOBT)0|7_gP1$jt3}k(!FVh?d z;Ms>H<-N7!s*kd^Y*%?SiZ_rGJKLOt(h%`$!aKyx0Q`===-MS<${fVby`<>^47RbQ(k^7VKZ~!CLE()g$<>PqaK3^Q}%Rk`V zQ@E+@gI?ge@8p=ci(#vMFmHQe_rN z{FJ?7`Q81IlpX(LNVSH)bK1lpIFaAQxhK(hsQ#=Zml$XQzLVu1UE+0L;Qu$0fm!+Urfj+5yTHDOXhVN<^FOm|BUxt;1 z<9xzbtpb;0A-;eR;)MTg^G5Q!cNEOg*O(u_TH#jDOX0b@n5^D*&tFx~ZI36IZ}9O& zZ{wedwUz8x9ppVI?;sT5>(ljg&f)_Oj6z=X1P<-I6`rOhpFjWLfG9Q~yKoLz*0@x? zPiB}m3;$0yZuL%-OsvoND9_bjMfN@GHhd_wTk@nwuI-~cK1MyO2G%EVL-|3GJ*!69 zB7}W;kabwHJ8F^N#Vc1$3fFh_mBR)54%xm5^u8CgtOKa8RQk&OG1{FS=zC$cP4alr zP(Fv}4N*R*V2Nj6E}7sxy<)nVJKnRd$UMYo7b&P;#G}$eu!1MI($uvXFb_9x(29OCM={Ci1SdR{fM_|W+Y#&o z6Vy~V3KU(ZW78ac9I7koHLa7sVj!7JA1GX~`W15`qsj3rCaJWvH`6{yJj6`F#S!!` zDLie_XV}*iSC4lRNH_J^U0JP>zZd{pxhRz*SmIeAUWgCz9=!GyOrM$aN_rkGPx)r;_Y;2^`pP4pq0P*=N9(i$|CXy=d{6+lSE*Mz_lP6 z6ps-UjeyAx_u!{}L$j|edS^-E>U*8`%v+XY&u?pT>ku?YN4U@Jb}U>l zOBi>+$F@6cNNG&4vPxNfXRx=mZ#4*eQMrn}WxdUK7;$(4p~4ZAs}HftP!$rKp~PNZYAg2SDAgyv<@aa9C8WgJ_uCK(%jjR zsJ|R+<1g`EpaNBNHTBAL&fF0z2p1^HZfIGLxT7?qn^Mg+e{rFnRNnd30o7Gt#>@5e zxUq_Cr1E9)?Sdp_1EKyCr~vTG)-YUQS2zjJ?tOSke?`k(T$maB!S_C(Ap90A6LhB3 zMSl={oOJ_p5c|qjK`0w|ZW{vq74rb!P~-pzSC>{4+AiVV*v1F}A<^7k_TeA8S78D(@Wed$>Tb-}0EN95`cD&1^b$L^{{3RcHisa*N}ysStqlqvKM2 zju!j6HJ{?^_V{27m>(+I5M$02wZSUs>TL6S8LR9e0pyHrc$GtG)FfMvrSGDJw$r$P z!uP_k3w}Z9!5!%mal%i4BgoynG4rgn30I-b-t?tVxp|*G!R}ZDQjjM!55LD-^hFAu zD3B2+vW0jHoBbHGv{>89=O4WpH%kaxJN58$$@#Wb@+O5NVEetP0atnUdyQPBImh^h zv5`;icYAqdpL(-egqVK#o1?sUB5%cj)w&Y7Qn54HvpXQaOLHCYt#~Qy$-E1_YPn^e zxjUcNv#N1dkr4cB{@u-DelBQj-Q&0uo-I^2!AFDKdO}j8vb`fdJJcjXT*@!rDSy6n z^_rNaPs4z4N9pINDd~Gb7wpO-BKVt>Jj<(@x1dUEk9>94R{UvRY9$b1j3pRp(S4h3 zDbkj^A~adYN-SjAyvbF6z5Wu)E-}Ot&Plz;t8R^+4r)O!@u4>2K`kL_K>~;OtZV!! zq0k13I`8HN5+i&UCS)08?hpPV@bTATorxRV5dvygW3#`9%V?sbcbGmBybK%?6lnkS z#Kya4#E+Hx_28Fy7~u}n;57%bV^`arJSCD>ho3OJXEhN;c{Y-{n7xF@uCcXl?jQ7OGvv)}m>+#*Hxyl2(@z@~80w6zsl_=c5V-bf?S6M@sS7VW{CN2U@2|f1+K+jEy&#AARhXj{He-#t zc42LB^}Kn>8cVikm6B(+KD?^twug6phrv2@z0v)q-yKe|h3lG7WnzuJ_Q#}XwQbl} zT+&7VOySx$m3f!W{*i{oB^o%xGxIZVki+HgF2aedVNomgZcy7 z4a`rMLQKJ*YJ5BR3Hoe1G@u)vQcPPUekIx^6L6OBmGx-LZEE_aB0*zv=?yZnGxmoy38w0$s6lS zPP=hjFYm}A&mnW;jWQ)8iW|sH_sc4(|(V+O4RC;9L2n{W!ZOln)WkI-R-;9*Rh%3 zc%HMpA2P|sy1x(xuRJCOMlZ?D^NSHJjR?L_MY?|}L`6h>z4cS-vDKdNWepqSz6uvb z*vwtTkzAEk(Dpzqg(w&K()UHcjg8%rhE5FI8`t2&fV9{Sc#L|aL_hU`uWoJ zOv#^BUmb)So-4uLnUdoHXEh827elTmUgYf)t{e1MT$TDmOAN^^_)nx$zL?Ez95eN1 z_bF^t1gFddZ$YgqP7XD*mOXw7Z{aQTYNQ@19?Qyp>yoShew z?J89c2C`0!2y395+F|)N7o}dZzVEVT$Jm1`K4W_P-+?;ds9UghyE#iqBO$(Zzo@*4LjCiHWhU()}{=**(30(4bhr)x2|Lv zp#Jo>|B%vARxjmL+EMDNBt<#ft~p?^TZdi6|OLr9*c;v^do0j(5 zb#k+8%vWx^n}WW$_-|&}(3{N5!tqe(Pm!ADI>M#x6>Jk z$x{#w$2bon(Y!I$Zz0|_H#Iy|{pwCdJ|<%CqbL)^2Ja12Pq(CKmrQA#09;b5uIajY z1)-(^MT%K@ss@?#9GMkH^+V;3=?n!zy6+U3=W#aErQeM&hYK%eB2Lt*eLTy-{)d zvI|v>o@`8wq;JC3FYeVVj|zD$P$+)5nS*+HqGa>4_J>Ws_2Q&Flqy(KBlBXHdj?_m zjveb01vEZN=eYePU{X9{^Ox`@zl0#?aT;o9G5n2|m{sp$Jp$=T)W|uqL_FwRvMeP>FF>?iDdE0Ze zqo9g+zO(DUJ7MAGoI-Y)l&?*`jVuso&d>cExs70S-CnkU8s$w@@}8`ie-rF!Y|`G%1u>Y$cHtB%!REX1*&Xsh~{GE zK&9>5t>=fSTQ1Gz4)m?JJAf^pwOxBZI+Tgvvc^=l^V7j8$}#qewm-Kb9_uH>+Bk1+ zItsS7nWrTvH&3N_dOTbk*%IK3eCM~ml8by*UTqUhz&A0un|-CMEj>Ze)}2ANFvN^d zc9FQIMGImWPNkT0Qj~)nkfV07?=tk(I=1%7eBD^~FkJhfC1^EI@i7 zF5BSGmKECnM~oED`8bMVLWD4KKkz}_@Zq7*4xNef$LF5 zugubF++T_>@iy_@i0r@V?p_1!irIgC2_b}AT;$H4@Tx7|7DpK2?m_t+8NKoO}E&K4{{jT3`N1#V4JR(CGIKw(3T*8@Pg!C!+qV(fmGc0qr7Mmdg&?5qgg)${aF zzA>O_!i6FHAFzw+P~&lc0`E~CMAJG@h-8s>TYvL**)BAD!$S7ejSGk)e;@zNUoQ`N z?tKiB{nt-CWIR}<9Q%;dRjj;XOdRv6?~VIwAmVlGZ^W9;o?LwOcE&EFy&-Z32>4Bx zONU*?;_>;DGDGyMC%*CxY=ms5K(S|)@&)y0qt|JFpKLxTs&qu~`ux=X zfj9e>2LFDB2S1LOXrMqNV>F!49ZfOyh zPLY=G5J8+N2 zyDqcmPQKd`rA+4oXXN@S*S;j?h;@^P9OBs47k^dOa`$qRC;jT5+BdxSvE@bbaWshR zoN3zUc--a}my#4`PM5?SBeT~k5nDJMkY@b;FiBRw&z9`PRSi&=w*0CASb5bpQ+5@< zqZIn&%HM53<*s!4BpdPY&#l% zj0L;A*H#1`61E#Hcr*c!Hhq|O%=e{Xe!0DtJKpX`2aSBSZGZnU} zkz@+mwD=;!6jXIr#A1?Z^ZYpbeOZdAjc@v!o#5*9x|%v5dNeuls7!F zSLd@;K4sI7D?T_*3se#dSN12r&Qjyd-0#5m9A4hDzFLv)-03-dbTX}#X{#^x?3{<( z@FW)d{AB6cYQB*7dbD~U>6c!OFq9mE+1C!Hjz)xd_BKqN!+9d?eA<160A2Rl$8iOY z!1&!SnVCMI3r{qgSR!|+m*vItt6#b-%3`!B$A6D~FCYEanhv_NcuCBBGlqZpY`Tib8Un!_G9bW3CUu6g0v?jYW z5}QtiW1(#?s2Yxv4MeZljVTn>DS>Lw2^LLjR!+X+xG-vSm==IgRt9PUicOt!FL5XP3 z6U%ekD8iGT!i%wX2JcTwd@=ixzTx1;Q^}sN({3Gp zssHYwb`kB+KvHyK&24#s+4nSy$uqN;jaU=aY%tu>io@nr`0&P>Zzx(s{ z6#(#x{e=i}@YF`zp2*b)j8-|~5v;iNlW*#X=yLet+V@=j*YfVPo5=3gAg$d+CLQAB z)Zz*ed6_T%oljwB&Y zJsT2b=j5-nm^1&=Y`vlR`J>w{)IUx*q+jv-xQg?OsI9vXs@>?2|1?lI=6bxpyHtCa zr(PQG@P`1)^WJ)vqns^GQF%gtHB}XVy(S8$QonTTx7j)tKPz2MO30$xO_{jH<$cx%e7I^Mu=29&zR<<_TUqC_cQOEoad$6cbK&a(%|Rc%9?)Mr{%OC-782N}|ZR zogRc2c5s(b!t3ENg34w&=NdsDx8)vz@TLbh65E`h#Ix~3|7M*r=Y(R~GAu{EVjH(! zpIpVlayeuNWj(=37BejVYBc;s7Z*O^_WzB{d?@oZCf-s@d`|}!h+2>>Q(QQ=j!1jN zGh`}ujir>2tG~yC+wRx9#a&*iLdQqk_fLq& zgsee@N33_KOg?V^ai7LZ;9tWXq}IQDMv|O!NY>>Qpr%73PH^jzHd;|z z|8k4s#zQus^NV$Wx3`=X-)nPFn2>SdPX*lW$l|~NAcKQJ$i`V+>51zL)~c}YzK*8Uk5QCy_H@_K}yrBVS!zN zPplgF;y9_CLqb=`+jO5=!6=1xdnA(n^rlHM{Tq^Ea}J{H}p2v24PC zeD>_aa3CmvYYRabR}73ttGwcM_^I_)8CB0Ie9z* zcz`M;G2P~zEt!AlYk(O=CnSr(4-SK3fwUwtq?q62P-!|4KM&n$LLD1fdZgU;PIrqkOv$BG;)b^fbaA%@Q`cv9L~5@dA5mE z`7X$!5H%GZ3?dw2ywRwL)ej^i?}G&~s)^Fi9{CFo3HDYVo^< zQj$cSgEfyF?37e3#Y+D%A?JxF07ZyQ7#EZwuDEpyzd05>EGNUFxB#^zvwLeoKjIuK z4NtmBT>;o?_fr8gyc-y-K52pZge+x%|X9+OOIk%q6m;g)^lxz zuEkCuT&h2kB<~Cs3`{`GOZ)+00L{GjDIF^TLP#(>fpG~J*<02~>oYoKs{X_d7$bSw zk2j9e)U@22fF%|h;D@>I^g+OEqHr1?I%b|6!Fr@Qi9GcCZ&sY8l{82oVUck4HzOeX zw=h9bYR{ZDKoVL>zX-@;xFZ{8Bwe6InSry3oB+}Wt@1Ic#w&MxkC7b-szKDoBJ=guMpYDid)ya;Cvic+AI5KdF1bD;~k>pvWYfK zv3~D3pE)w>Pa3Eyh`RHII2x(}tR+^&k$9Z@Hg&xOHdcIwq##l8m}`%jJN0!8Vz4GDUr5vxNJnGClTUR%634tuV&~d@OxV9L3;oVj3$d}|4=9%i%J2XW%l9=Pu^3BnZTgi7^ z&#uc{iXAVzo)xHKO+LGgsa_P71K0`DtunTfB{*b{3lI*%Ran9aIP26MTk+O%Hb6XEk-&D;FuiK)?*m9c5A_ysh7(b&!}S!JaTbPsx!CHKzR zRiP4;`Hp0T^`8K0G>5DS8Mn+Ti@($t^&nS8AcbEcAFbdo-4Jw2A$8|h{(B`#_!oGm zfTwF2XnDdf+F1Mwy#)cc|uW~GddN)1KN*j*Ku@+4prG$p_$#vgp0LLdniSm#Ma3jmd_OYvwDuE2T2Fp{Rn zvy5RJwvwVUrZR@cMD5~DPbh~GEASE(tWL57!9m~Qx#D}0|DN$it2|!cf+kCvulM)9 zXn*)Sbg|{u{@N$eZJ>l70(dfb zf`!ga6-B+$0!PfNkV5D859g2GYduj48Ib?;L&xCY+J^N1vmiBs5%U{K!ws76lOUUn zT=d!Xt1T^b$>jy~q-`xI-)P22*^I%a2VLIO$RgupeD|-mCz$C-gt|Cr?cmMz$ZH3j zY#_Yvo~@Kl@`luRaON9AQlq0_4~UZbk?esRgMMlryph zd4e%`dbMSg-X+lK9Y34!a2{*tm|Kd|&ulcW_0%13eqHYTjy6YS(bICib{{m5l zLxJX!gi?g|TW3{k1A#X>9RjReGu;cFuO2oC-N@q!9--!jl>_`pIxJrZz18`mUSEMr z`|sXtzG?@NN8xS->REAlCh)KWK0Exn%!#viw z-E;jEl+8{BIL*D?KMSp$a7$9bUh$i(R;gb9`wmVB%2z828f5{4d&}34JTG(Z-1NS& zt;&I2l$7wzdoUp)zLAPHIl7UHOz)k(0@?-GEPNBIV+r)|8+i$Cq7qUA6L%&{WVgtq zdQ?fo#V#`{?Xhmlam8caGW0tp|M?msIr01!aRU5eEG2cL;|d%j2HQVE3IVb52$P@7 z(4Kiknp$e{B_YiD&HA8GS_lZ+KgOQN#gio9LkzI;w?&jCVO--z0RF}k5&-_YHcG~o?SlKLqXEi&1pX^Y)a=A}IQ<=VG^wJn+=LmKkiIN_ zlQJ9_Rh5k3P_5$CAizdx@G}%QX%IMaWuTNP4cvjMYSDDY(G~~|PG}BN;4!7<{7xc* zTRl?F->?q?rAG=8`inWQPzx$!NbNKC)>Jq(#f&VU#_}X`!tM;5Gu(6h_!y;5%op7{ zL>;A{it{sCPFCmBOw-X}bmE(9HF|2*e_fWPd*4&^y%>L@HDNgcy1+ZKSPYSw+u z_GI^-RxUTTM5H+>>uBlguM}vJk!m6}98lO2L}I?(SE|MwjWp^T?Bo2PsBCEGQ0~V{ z$;XSE@)GYZn||IRODubAj3JWc z9Ptw1!kYq-$r|jorfm=i4gbbCeHv;zNF_)U$+c`Gn`W^{q5}-H-9cEs#+F8oH18+8%md3 z%$Xl+l&*z%8=Da!^>&E_@M@>jcQ8Yy`gVshzE8!ZYMHwT*o_WSX~8W6`GjmX_fugA#KGg4oNyR5#(P}|LKep|Ko64-PK0nUa1c+(gq+34Gds2A zcfGxBCj+65;0j}*u^jm;HY}w92_Ol`?jB%r^s-Uw=jhG&_*GSc%hdiEpP3ub7>%!S zp%_Zv9ikyp4E!Eg1rUSs(gXSn-P=Uf`vhV}=n+Po7W`fSRkuEUlBdIBP?suH;1rhX zee-q64x}1m;4mPXOmIHkPDLXdAps412Z)}E9y5&ZqwO?Ip!f26F3lih(!uGgem?k% zMCTnstv|4=S(YWN3ne!dQ8*hPIL_tg477IpVM`zEMJ~n^W*~Hiku}ff)uY8OAPsW# zebi=xhMQ%X)IQst>AtOAg4+%7#8SrtP$0d8wzN}1*`M6^|2_f$z3n02J$ zcVCePWQwz$M!UpQx0lCew=!Qv6Jn&GaIkY%%mLH{%0U2 ze(rcNl|HZQt*=FHLKzu9iuh(Khavb{vk2+3j6og%s%8*ZZ}Lajgn*LTWi;2AkJQej zEX5;}=EW!%Mx0Q)i)qO@mqSKbtq@D62Q(2{bK-((!=dkucB_vF_@D-Lg_2*6Y18BxyO3I4+7;xhzH)8$d|7^o4fO1VznTo@!)KOzma-!a}YpTNw#raI0&R zE_;+Dab$ zvK7%zur6q9Y$6f0pS1z4ePA3tI2%aW6xE0%R6=2up;RwC-gm)#+d$Blwb-w(l#}dR z7gvYo<1<&v1BoqG#S>zqX~%Jes_?3ILM#vFlW(}aJFqhX6c=eoCQdS&`d((VCal8n zk4$5@7g?`a+@T=9)dloB1b`P3_aJX_(d!+2``e$wGDRB8`nEh@S&D5w=^5aGrV7 zyXyU;MuqLnuZL(fwdzeRAMTC^$F0^+btg&wrkr87+mi!2L?t=u@w6WsJM&t;~mDYkrS+$2kl6t zZgHmlR2H1Rz$lu!WrN~~ka*kG(d}Fz&uP5}COn#zjK7PijxGBv(x;V#_2^n2Atp>y z#6=}-xHH`^c=e{#d)H08W7tJ+PjF_7JF9V5eTcEOcO{p++^9k*k>0h{OJbtZ^vfn) zAb*CuBGXgF9KPEFyr}Xd%?3U8$>APDJH5Qt>uOA09^E>GRJK~uwy9&1@*mTTF?^Fd zm8DOm=w*k;e$0m6+ljgW6DlIdeV{5IUk$e|!=yb)!_)JWxSdd`cTQTFzP2WMPI~=a z9`;E`va+XdE>UE98kFnst6t3ZFD}wj56q}35)!5560!8CJT8KZ~7SgU~j$Zqhz! z#e}AKcB$y?n7%kSqWfcQzK@>yu~j3GSJkHV#a8+8zecUNf`i^e014j{^}Z3TLsG}T z88^N9^KRyo`xSa{^WsjNboUTGn$6SqYfo)W+b?GkibK|?BV|NEmYCk~!BOiEjwz&l zwp^&t^vROSsJF}?R4nph%>v&z&~hT{-O1{sYJc*|)_;@#$D_+y)jnj_4P(~Ak*aJ! z7-NxykB(T+h(LGBxKK?G1q`YDBm_^*Q4VWVzvF{?cmz>B9MR=+cq(CYyFjJyKrM6T zo~Z`|sr~XEC3)FJI6k z9--tgXF~#9nU7d4`~-hwYR2tS`l$(42Z(gV2vtBV^pRHYrQPOHte>Mr9**<*@9P^l z#w|9pNjac7uo?(}zP4+k%)zY#N@2ck8rCYw*-`LGMbbfwNHysG76_>F5v)d(h?3?w z#m9{rCfDXA>l5lNzVpQf zp}yqV7B5Cbx<=CkfVcM8#UY%?Rj~HFvaM8#tm7l$EZwY0u%#bdv&)ivDJ1-Lpahck zRLuAr6e5a=G#3s1?r3I9`*^m8P5mRg^fW(Fd9bvR#dsWT&hca5HK{xf{8|dc5d5Gv z=rK0e6VCzC!aV$A!T0c((YKpN2<`>B4Pj*P^##&#xMxU>CQ<%~@A;mjsD@~5hL;j0 z`8UV^wvSd?NUl}IAa}Hg{F6@J-2Ez@tt9KA?iZ=rbyqEifPI43f1k=w(v0La6>vRAw+v$Eo+PQs|5O>Qk`AFT%F#41`lD>>b|U37ENuEa&g(&Oe|5vZ~ML^-O`~7asgVah(M-?+zHh8rSHc z=Qe~oY*KO-;Avf;yj@)8CbCApVL8$a;SmmCHTyKrCn=QvOf6y5ONg99N`EPus4u;S zNpHA@4}il1`GyC4vUy$@C{9L??!>uk?yZ5+?A*9 zt7f1_PXd+Kl5d;Z%&U0BCnbc_fh|Uol<<@lZ#{<8kVS5Y(ohjgnZ%!;;>pFcWkZ;T zYxtzf2(o|V4X6tKT@*U;_Zks}D-*CY1u)e#Gl3C^Z=&LMKx8>lqN7IA?*OLz$`BJQ zF9JckV=ing!W~adt6!721h`*9ZThX8-aq8QLT06TnAN@*;#?V3q#PzwUpeJU%`#b; zQ*CQ`%7qj~h?`n&tAovd2m$ROOyyRQ&B?aSvC&ag;?*F8GL>SNu_&wpxF{8Gl0~R& z+H?YhiQKdPj?fr_8*o*3C}Z;T>cmDs`QaKQRHo&JPGugLBsq}ce6C-TD~mmPP^=Dy z0V$SArt;?kjdI%yzzlIENyNZDxu<1Q&l2pD#GXP1JeZQKKre<0n!}58{muH@Wpt-O zAwSrHwW@C?Fni*G85)%}QrT8n6}y9GgZ?aOGVF4Ui1=`(r*heu^dQ#0+5vu~-Cz|Y z>N!()@;_ACcBN#uD0qnwdi#wy^m*b52>k#-7 zv>ymfP(lLWAYEm$sAg}PYMza5#%?nI1~-ujfa0_7Aq+F%L^w3&x|@=~pUQ3qkkvh_ znN7A>l)hk8+NKOx+z_O9mPy_US4Ebf$d^!`9`LHt&etIg1AGB~<}dnHhpA#kuFj>w+od18BOpj!_B zSFvyLN4g_VruqR7vz~|tEiBVdxt;sTeM=NaXJS-{MaA#$S@UPCCv?FHyGW8ZH2Ph& z{0kMdQV{hW9q`Kn9VD|f-*Z7veuf4rrgyPk6D_L9=Q`wJ$8_0>UHKl zYD!3I(7IC-B=Wq4@0%H1j1M_x)?2#9J43>&ivis|r?sEez2k4sbOe+U={mP>pceIx zbE7^D4Zp`ut6{*W5a;>mz#P8IWe;>v#hGT-K6-MLt>;=;94ZKlx|2_5a}R>T@-nwL z4?f`1>LBd?2;`S11x9!w)V^E3Dc2w18jNjVTYGJ^#AVyb?GD3p&r0_>a%1%A&+|x`-T+J})u13Xc%NL5CuM#sY4~=^1v7f8VhJGhlU;O2L zCMTI6HxRMF>#T!t|0`zDk#V11(!ZpFt!#=XZ$Y;|pL;V*1LsPCYqdryCGl>N1sCi&>o+S@ja5%wFfX&z~4 zcx>HdYBbMzaBR^yGyZ3Vlk?>3VQBvC1w`FC!e+&k5QR3bdAxQ%^|p~2iPnU^E<5KB zS10eT*Jwret#Q3wZ)>hQ_Kgr=hFQojgdRIwV5C9ybn5dDmlb@c--Ag7`;1-7$=_;? zVehAG-Ur+*X3u!=NT{a#A2j+&V0M`rc$hGH_ax{U=!ajt9&-m11!L=3u3)97jV^&d zt_7lF2ifOier9X(bt$mQJ2Ky0xc<6gB>m55QJ|&4jNided1aB#>aao^^Wwh~w$48a z9L!&!t(9pO6Z9Vbz%7@6y6h9AGJAJff`_^Zr3$=cBNY zI<5TI?il({&D@&#qK}GJlU!_2dyNUr%$ydAikXAyNK9}E^{qG4?QaMz|B=mj{I#qJ z1pJ7#Kx-Nu2q>967PnhE0wLow>9y8h`# z(?1hlPD`4iBe8t*QaZRuPuZtJJf+o_PE7QVn?so_+LJAb%0xuVe!G;VRegZZxV1sQ z)qJ>nrS&e=4I*V!MWFV!QTyk>G|-Qbifk`}r#oD&%Mmw-f2{fTRoG{frPMvnV9FEV zHxxdM)b7j_yyXJligJ`mYA=q}tj+O>1?ZI}(T6rp-QDeAjoV$6(EfyHr+R02C1jo_ z_Qxki(=w9gQRT8POJIQ*;-RQE_G06>-c@`e@Q%;U$v#4^;9gF@@y~4`3xybEp~I9S zH+OwBN&6CKd*)n!+D|QHm2O=h&je5Zv(F1n5dVhDIX_-j~iOnG5c=6l8>*M2( zZ=bDAG*pfY#~Jpt51aWhto&7GmVn@d%5Z8-xbE@=0%Cg9`s`TL0#&{0h-jzta!q8W zf5x3qvN+A0qczs!o;R96?ZnXcoiXVg>)6CLVr^H@Pl&@5FmCoO5{8PNmL#UK*IN!S&QUg5(3-xIauJlTNRGc=8obOk9;lM!CELPQx(d zOOcH{f{AlT&=dF6gqw73Q_`ek@q`y+pGS?(`ygF=r$`Ms>;|Xw; zzH8vSPm2s+GY@7J0%P^N00VEdD@c-X3%xOa8U;0FL@X6)y5Op|3*b1>+?*`xy1Kt@D zVAiMpkZ_juaCvNr!_RJn=rxIu8~mu8ah8s|I%tFCK{wtEH!O2aj<1%Ktfh=}LaOf| z1#n#xT^MZN>z`^xDyQrr!9qmnLDAm;E{D4BtEr!SC-`FddCWerF{che6-1%?32&wJ zvXP=(UEZ;{ZOrSQ<8Hqb8>K{Erof{pVqZ8aJv^UjOHfoL3 z{@0Jmq|f^Db(EQT}4$&AbTgE-) z^ZIf=4$RZVUGfYs9!Qi%`=?u(3NRbEjJ)zR7+RW#w3E;!Nk&j z)mH-y7+75)RdFRv4CF=;NPLa`RaMZI3NUZ9nR}J&mf_Lg#;Ga$Rlo;!do@R5k;4T3$|vh;7F zewk=rlitElZe7wcKtD|Fn8j(~>(Gj6lsGM(hhBPlb!2$?dCVQ-vS$`i_ktmZY<3pH zcU0LNqHyo+-6Q?T|b8mmPYls=HEVwotBm7whM(`b-B}Gu z%ujS1KmTI8CNG^I^+)kTgFf;N&UM~t)&EZ_lst^xEmAG`d{i6WPS}TobT(?`yb1%8UxhFUYex)-cyKRtCRx;O&JGX2!uK=E)4h zbZ3qMTaBo*F$Mt6RPb%zVgLO$AficIacN&i)&39q+g!CxZ;bQCY z@EPamEhP>v)^;FOlX~s$Xw{JLrx=5-c6Oki9n6Q$oL0xHvWv>VqHNw@!(D22Kcjo; ziS=TTJt2So1bF%_Z_*!SQbBg|r6D~6pU=Eynff?cO!vx%S~eYMFwxzMj>@hYvpQ%o zmub9#WP@>Xi;+9Bot(B$z$7yi$~FY+z;H@$5+>%`7Z@7wq27}xXPS{FSq(8vvyi$m zr+etsaee>MWCW@xlqkr66}8gHJ(%qmqA||dS1Qlg%Q+y`{@@f4`YmqN_)X1H5wqjd z+^t1z`gkh)A3LVsQAz##1!)-#uDsT%lj58bpbOd9*F12}&&Vjtb zQa&Dw%N@^RN`+LHZ$xH87N<1tdCOGnK?P%%Y#d z?TO3aaT7b#)H>9qU`o)IlH|Aj#Le+lT=^pp@xKiOEtTd^3GVg-A}8YQn}|gr4PB4K zNus+UaKb+(rE*%{pA3MFE$inupj7R%WN6`bkcMbbXY6nQzQjSf~jVZe9nFl!bukkGl zv`Q|BALw#M$lMf7SMb(ojLi46vcEpJz1~0nN!^$$SKjY5OIXkGbY()S$UM_TpuwD_ zh}hJg&O2^8Q(l*+7cboSR~HFbonTur);xIO%Y$T&8^K7C^aS}A{Of+jSipw~#{G%G zzzC^m`lse#(jvXwW5sxroR_7IJ5;;lWr;6dW5u`>Cni|9v9T4DNK7rGTnI|7^vj#B zm?`BF!A>Ak!Fg|4n>j;&rb$jbMEYVT1_L;%<%@2fnFl%H#xj|5_wJrb&vQBG{tYN& zsLYa(rKfYE)p4D4Z-EiyBw7%TJ8yV2A(|icke|G$3ZSq@|0VTJGwkCZ!z4NQZ)RE( z`{f!$=!c=gUt-cHWL$f!80kDcoCNs1G;pu%$GpE?y)^Ic)3C-Om2XS_%xUUTnO@$w zO;~PhLB*bYy93;QOoCi>CpI;apanOoh%^-jj|N&Pg?`0V*`qSP`4N`mq@MNZRiVZx zv4P=m6(&YUzN#mlNiX-_bUjk~F6m9`&>vL^X(N0`BQNRVO%nPuoQ}WEHrPDJ_8H9$U^56yPPJ3-dp8yxh zCkKOxxIt)m8`k-I>kBD~SJqij-<2V1dR3Ar=xnEJE#J$5L;{+a3W&k1=@`e~e(%IO z1fazk=Ca4IpGsue_Q!!E9Im?{XxtT9WtR*V`6=2x`HUSKR{&)NcT5Y=b=|WiAn6j4 zMk*^9OI6=qpiAs-TG)5N^NMCTd(H8_Q+!rK`^kz_JI&AgeHE{avFle@ZzX+^#z>v8C zxah92j_h(F|9m0|zpn+y8!^9B^qcE)((EtAj5F#7DYc!?t-Ji2U2 zXOPnv4w!v&XO~s8<&}JkmWI}5o8PuYV*?zmJCeh1k&mgc$B`J;p&!FPDI}n!ZI7PO zcx`X#+*MdrsB&UCE0c5iiTOEOsgt8J@#BMI$f)M;h5|vWSxw`}4V3X*{3a0{r!$fy zAv=2@cGLl=bm4`!6xLsW(KAeOFmvXt>vqLQWnjLH?MDJsN;nKNTWBXH?*;I=Kd%Gu~%g5OtbO!leB#|2}C<%dpa`o~K-P3i2+Vqw^8g zwUGN*yDN4V6C8?L%@q25HMVk9tX{-axsoz9nvWoQ2Q1bDeb(^gm7IH82nNs#*mVu-<$1u6 z6B}T}p>GynnT*&IqFZ=p82d=$FaV2q-+vf5K4cQADfCT!0FA$1Oe%pd0LJ zYx{|CxSeLMp~~)!+qroJ2`T%OEOiW0kO~G`im@e`R9l)E$yKVA(66l8NEJvd9OUKH z^E`iXC$@b<4KIMN;{%)eWMmQa*B6x?Z=#$z@nr~xl-QymGfZiTJGC!M0)@%~4h&i~t zHSntg-SXSMwiea0wB|NWYu=Xb6@|p^tZwVCxChJ*KlEunQ_Yde#0i@a^SiFFMz<)N zm$rszm?;m|%JtkExphF@KH?lU9JfVTuPBw!JtGzyxJv)j#z_yBz>V4`K+1bX8eJd; z2_Ii!xk%#5!So5ufl}Gh!$v0(kH33ZcHDmy2LGxb^CaN87=_qppA`~H;;{+h(no4zN&uXI+(msY#Kt`Rxt)xcB zZ{OdndU9-C2+P?UPGi7<*6RvtJNs!5FUCpkaNdDk!;KPK7nY;_y~DeR4~Az}?Sm`6 zPDB$7kZi8QWXEPeSt&1h{F~SF*&$0+=?G$-wbWK_=0MKxtxLh3v_sz^zC7SLV|y#L zNlwqIBFLA=kA51lzf{Fsye9Z}pswfRHxK31!gMfqJ|Qlw)LaL^?5HdCi4k6_q^FjKdjj+!dW8Af4XQ<4muj_fApERgMbX}l>O?5Ru|QH$(#F+vBANm zp}H7)nz9kr^=x>G$E1eV}SD}Qud$WZLF=W*Pi^C ze>w+2J1=v87z?K(7{t6JUWWgRXu*hlqa3@&)7=NWkcqp!4s9*()v!RRBrd&VX8>06 zvS$~vj{u3?08-=B%2lLX{TtcglDhSIhy}|*C?b{1tLqXaPXWL~OLLtqzwqy{54P1f z{~|EljHJj)wRqnllLdx#6k4_gm1FEZpU@B!a;eatlc0hd2cG$#TNZaATw;i}JQlG% zBes;p)fvfcC9K629yo$AJGu;al17>xxkK#ZoPEg`geh(Mb-aL$%3LmumjxDywEfkF z*R)t~C@-O2Zp|gjT^R)tk1XRTKHtM=Tti9!7-DNOYd%a%yK|bnHAqwBt9nIEKP7H& zqm;;7ZoDH|GXS`C^w{EU+vnv_Dfxnji_vo#v09?7Y@Zice)2Ib+HMYX16BS|S^N|! zWHXI=B*HDnZ%!a7;=<7Y3j;Q@L?iJ*^$Sj?pF2_s%)3-SDI&>9Y~<#1pBakp2SJy@ zRXr;oj-5r*m%BO7tZNTahq0Fy9nx-1*>3emy-t?hP3oxvdJk zbaLLb;I^nQ4>^kgOngyof4`|7kp6qA&WcrFvW+2I>wlabCx&j|hzb z=jZC0ctU&o{1z!+P1(L|X!o~C)ix>b_|;LkC@_;k`AfRg5Z!qjFZ0~C_I)jGCx$XF zDWaF`r#jWIkcl|fxNlyNxW-^TEa((s-KdMS7@lL6{iV;WWnd4upzQAVeBG{NM@wGh z7N$6R>K(7Yyg$Hay%7D4J3+P9P*PJ>brTpG@ID(J0({+3c1s{tByWPsr#q>KcxoPk zYIB$)-wqj!zGl49|H4nbLz(6q7D{}ypdJ;s=M%Tn6ZYFlQq1;6Jkf|BAUoiABSOM1 z`iN{PzW*%w;K0X13=+QPOZF>GrWm7fU48^A(@MK(*V=_%pyrXc6>?(KN)8EyJX}0m zNMMs^qv`7mI;LMbPCnx6>D9$s)xoZo%7DqPJIZ$~(MFf7bE5|K_ZT{j5Bd^oFx28w zkq?t9?R;JuJlG6u-M+2=@7sLhHQpq%rl7+4pB%yR`*zX~(KM_3kF?e1oj=mKu|8yS zvk@btk^TCKibgA&Fkq&geg@a@cB&e=*+%G@yqK#X#{@qo46I>>+fM z=cEZnT-i+mac|wiiTT4@v-F?&%(nFci|d)An+X?iKx}Vf7tjOn*~|&O@bXeCWQROb`dZkCtkJxD9BUA zKy8FN1t#Jq&Hqs=SCoFlu{1p#oQ!b;q;oc&H8L7Zx^~TY0E!*nVS-+7zo|bJ$Y;F& zYp7)S&7~GR(Q56F2<}nu0e_c3aV}{d!1lq_yMs=@cdsYO(l^C1gk_)T>{g6^PA+a* zVF^tRlpG*Jbl3YKwhx}Z3%ayxim>>k(s?vE9>?8)e42*!nP|%SwhGjwZ|m9RskKV< z6?fIjk@gm5O(5gYkYNO@(EQ7q{f7u}+&(3Wl#yJ&oVEp~&(j$r-~LOI5ogLg6Ep&7 zu9L>ur1Au?FH+Jk9YqW0bWlkBM=ppNtvV)Z&Z}s7oA4V~m1RMiPcRmpyi2 zXW^~K;)J6CuDMMc4IrrtlPpEPUJmiI_g@CnOFIStDGfyPCF6EEtfS;&dl%1#|E7wR zef`EpH7PAP!y}(+fcy5Q2#j2#;NV2;^RbFB6iEMGV^9pd@Jlc0|1-k0qRoN8mHGRn z*~aU98n*T{BCbzp89#}c?>D}H9fwp{ zA(7HAxbJK#P_7^5T!O1;_GT~p9(W+&L6OnrXQ~f@0}t*lz1wn_*_-4Sp?cY+rqc== zry?7&FT9u1Ok_DJ><6YE;GQQsyXaJ}fJn(D5o8*!r=}0NG#=Fd+qM5NeD{yu z)v9X>ac-sa(fGd;4x}VH>Y4A}#D|UPQ@7Hi=8M`+(2st&$Hj#3!a z^!(`!FZ104DCstzxjr0!heMPFq~hj$&mUZE3q%h5Rw~8Km!8<)U8&0*EIp3vUG!zt zw};w9HnHt1D^a;^`90i?x$KoWgE5@<_udD#og-@( zzQ6xfKH$LQ8%1wXoc7(#|8%*apU>Tce{=GwQ?e@Zn-Vbd3Vi=k|M%(tFm&F5P`F_n zzvb?nbIz7|X7)-p-8p3Mm62VxvnA>5J(FFT*_^#~W`wen$oMI{Bt=NS{(Ap@|9PM9 z^Ss~Z^X)0xO*gCkdog=ac=3qh@wnli$Hf8UD;@G>PvPfVzke`Hp8k2Db6WO@s^L_u z^V0Wkv6@=(W4?^PwD0~@UimH;7oSoP--1s&&h#N4VCV6G0?1$c)BBYWC<5aMh+-k~ zlGV}18bl291q{H-3@(0z2O2=Ehz!%fI3o2ruu7&cTxxBd`Bya)T^@7?;KOJb34(*u zVEpTFg2)O)3veC+&QZI$&b@FE`$*M+=8U%(+y8YM?N&soJtE;Z#UtJI zK_W!p5e*LQ@VhGlr_H!zY(zYH%Xs$lcZ8h-wUzA-{mvRoCk5j1lNT|r*z8D&<#{p% z6Ew^oi@xxStmI-WrpS&Aaxl|`E%UD1TRNsEM8;<$r(w`2q63Q*JdW0BJ!A3WQKTc+ zj*Ko}RHq^P(w%3KTlS}NSi8tn2S)7md14yp-1bFKlqqI8SJMR;wkBu=HUY{Lr%ezq zqmJ;@R+{8+*1JnET?i$^`k#Tn9Kf9yVsPP@s3&VsCyKpC{TTi{h^B& z4{{bSgvVsNFR10mWo18#%9!Hz7#F5Pyg+laYhqV3gXd%A2M50TZ|}G~NO^D%`^>!7dMFCE4BszkdF8rv}z36QC*PMAcnzF!;SWC42z>?6|GMUyW{O0T8o(D%nw2I zL7JSVw6`^b0?Tv1wUA|;^#;PYom21R(8Nal=~PZ)EwkwSw_!Nt5=BAq`Kb$UF%R{W z0V?o#ud2V(`{nm`i^^uIsl-c}@Kl454pIy$k7N8#8N>a9%1Dqll2V84*vioAhtuZQ z)MlZGd=vWgl^CZAI7U*?3zE2;fJVz0xC{hN>%>Tl(d?HD^_sH*e>9OOLl&m;h!JMK z_Z{(1%^YH_OA;}Se0O}Jv!tn~ID6^*gBcBk_S6og3@>Se>;>ieQvKOtUy^6M{lD58 zOWs|wbLQ4^7EZax15#yHpoH6wWCgverZBff-nVcQOkAOV8Y?3zZ>wJE8SA$6TEp(QHQa;6|Yd)`>_G(&TR(M+1 zayn{<;SWLeMNmg!S?8dWeEM*Zw z4|P*xxz7$1k9l~duIGg|K`iFAB;y04%g0(v%#8s5MG98Q**vl5hIavS3&ZK8WErET zE5S9{N$E+qppQgZZVp9r8=l0_FIbd7`D4pIjm?W8AOeehpXXe~h6;_e1}cQc>G)5r zj;vof8N1PC@G?<2%tAbk5U@W|wvX zCL+V`Ppy2INN#k34@Gd(@L?-@GYZ8L_YXEQv9TMgk_ynM+E5C1j!4stBSsfyP5t=H zij5@bT*H_s$E~+!dr~MShns~dJERjT1h$N^u}vIN;(k+Sie6I}#XPn}1$bR1D4vy; zOJ5usr=98vOOUq_6 zrk9k$2=95Ps;o0%@N!9YGiK|V<~*UoaD2}H{+bKZAa6VPE~V6v!I3=e76H$Km~Lj_ zuml)Y<|a}ImgMA!2ndmrD}D+KaJD%8T*&`z1&SQP;8^t{S)zZr$xvt0+)~%A)AVB7 zbHg>HZNXnHrBgyrK%M@xy;RB8?J?!wt*Y)isH?HE&P5MpmmeyIu14>yFHq7sCAMEv zR+i6EY_^jR^rma`w=oig>)g%!BkFaS-){CH5Ms=jes)65-J3mdpYnu2ake_V2xKJZhP;)W` z6hL)+DenIjacfmT3@K{bNDjJI z#1^O!XAUnj$2n*I zcRIZ~4xNbYO_NHEoi$&f{xxcyqi@Hv9@8gaSjREqh=%Q8LdY>Wd2%eMpGsRd1C^6u^(K&71#$#4T~> zJnPM(+>{Mo0p7ZgRvw3Vn=EHwjCtw!)SYxlBh=8rxpFc|T}FRg(?Pa^emYvw-9wDG zak7wCS>fSDxlp1lK#FF-aoCZ;jpOwm9OuEfFbI0UV(jTqv%!f#%an=JQu4Fp09yUm)(L5B)(=+ zR$y|mqMg$ex)rIKMTvgk!{P8G^HEE%a>%Spx}@0i7~zuR5Oc+pdiA33PEsjlci=l3 z=ZeJ^l^N!VcH&7=yBcxV{WP&eZ3_;Bt7s-*omXX*(8(vwX%eR${N5qQicC3XRp9d4 zg*3w}mckAV_`LRkqnIU}nZboB6=mX3?ZTx(QN^J3{Cd-BZVUM`_%mo%9dnekoj<_S z5SUYHJb;cG6R26_ji>ZxE-Svk$-hkbv1wGLzzV$0y;;Se=@Q6lk-=(&h+x+rL7%9e zM7d9smU2oGlld*eO_?aocO+tScDM(P?3{x7bA;zvWO4uhuOe8DoyiC_>p5UOo z8vX=#_*3D1#&~zdX}4y(JoMC(_0DiY=OB?HLra&0Hvl?NDGnPR!+mg$<7Ph@;xtzw z+h{lw#`GTjpC@FO7XU-qz|Vsb#9y}jsm9>X;z<0C(FUBsCs+2r-W=i)pGaAcrB`13 zrt9LbT^Y}3&Y_jM-3dc3oG$ZL`m8k38x8=Gp2!q=Z=$9DSY^{pf_k17D(v5Ov+N%K;k5>l>b!Sdsj0n*8s;($Vi_F zKI)qi*a0;Ta|>X$E%c2{5<~*Pj!#tg#$P`l^34ihThIo$XTVrjJIDVk0eeljvM1$6X&86T$8G-QApbB?5&PBaq0lR zXBb+uSS@EJA@G*Ek!Iavx*74`JioH$s4SwnFS8OWBq^1Hu1)YoW;jLI-2x7&{V?O% zaWTmZT9AFiX|ix5lF9)AqToIYSxh;Pw6Q|-UfYcc+@Pd3N*h-iplDA_WkmBipX(M@ z`QOdtL0pw-{&dQFzh8QNXeLOegMjfTG{y!0Dro47Aocez`^RD5b*xe` z!zp6d2X)&MimU`RU1m2pC>d)Fn}5`-c)8BFe;2)a_EW&9vN=CFc!=sg%gu~B{6@79 ziPP29Y(rZ#Lyv*NoZkr!RW8jE?~`WOq(U}e#3Hf)|2K4XSLowQ6iUWCOm@T&GoNxpY(rZ2#wz~?xs zE-pkQavdM3c)#rTQ#YWRDH)f*nV;Na;Lit+0hFjiq&=40`4&KQJi}h;QFY|9a=v%V zKICpA2BwkA*+?%;aOL}~#~v8=u`)D|gK>RK+r9Tel%i^aIFkxY$+p)Esk5sl==?nyTLwG9;eUA0Ao9(hucev1OXv!)F;s3egJyC0tL09*PkE1yfE#OsnE@?@?o}-@bQU zrxg$~!OUd5qIPSLUI(mRV(xa0wacNz=RUP0kx(K>WJb_rm6*A)3+reFOJM1a^`F^n z;MKYRrA@3%YDvfGl=$y3EHCQQxJ+C|#R$IW){MUgJ}Pk%WcT>jSwv89)O@&BYGJ{7 z9tSE-cVi4&)~A`Al+yr*J0|X24J6zxy;sH9{aEzjmmF@LiaVtdRlFW?)+t4THv$Cb z0d;~5Nr^e7ArmLX3*IFW$@~S0qa_#d7N_)8C2?-l#Dw7MXYq(PdEqaF_;NDB1|T)j z3st9lfbCCao5wOdbJJy6{s<_SnI#!ijk;HP0~+^9X&N7YFT%sMIrkzlEvKxs%Hm%0 zQ?GHf_twBc{AN_xcu2btL*zX<>)tYRf#Bcrn{a)%v{^fofTi2pv@zX<_?;f7s8Soc zTsu;J&JG11d@qnz^CtaXPb<7b(YgPm5!Y(^?k z+%lBtcVZA4SFKOiw_is1K%1xCqSE_yIx|uvz0r^kBg|0PiTaRnR+nc5v=;hU!+7ik zZ0rPU-&Ec*(3w9?dE5AXxnun^8-^+eOtie!5zTh{zFx(GRag~@7NIGW;}9iZF$2CN zKZ)S==ZRa-_*^iNxcPuS$DUcU9v+hdzl`*oF_E{Dp8W`XY{G!Cx711Kw4>U+qj6tf z$cRi!cpIo4s_i~KKq`{JcAh#Tc-`FU10pXasb~WH4MYhiaK#a!ih|>f+~Tq^w!BIG z#b8dEJL9;huni`O!iw?3R3i!YxHfm6hY9l*mg#`;R4Upz_35-4v1 zdHOzN$-e&@(eR?n=}HpAZ!+fS0wqFB1Y2C7TI4@|5lPtO$O@C1Ee}ytLtGNXGbgFY zpKO(%lSElVk;nMoZYj_4G<>7_c@yNO1w<{!$j|^GkT6}r-iomX#2LN#1z=%gDP`Ze z=En0-n5DDwIWjz{EvAxF3nncj^GpRAX%quUxWx^Rwkr_t%zZQ0F6MEITM&}h{46E+ zp^T6gocqOZNS?J_4zpuZiH9dkjaD8xw(6;SR!8V6FC=mm&ChA`Hp4NJi?TpWINrlh z%Kd}3ZmVTJiVvH3*Xpg7^e)>^|otKvghf*|frg3wIjSVLX`qpO&9nn0ji zIcdq1;knGFzJTSgSaf?EdrRf(!*8|(!L2ow9!(x~oV8;f%{6u6 zwUZt#dv&U{NXIalhj%FKht?%!V}QB z^b!pRUj3*c4hY&G$C7lA-4Kb)TN%K=cviGb2JnT(Xn}G$b-~azj6x{dOg*KfMH6z5 zJS{2T3H&L{egr+L!w@-+nqC4$J$C@c@Z2AUuSXSQjGurIM2d1ig*qAsn+GbZlUW-y z>z?4-x*Ow={g4l&wgw0w6_crLSMO81_@-?Th6XROO826AhW}3M7Sq6}$GF`AGQNp} z4ouVRvF-$t=T!aO+EoNdvJQ0Zrd31~ARa$VzLi~jpM29w>Zs0@_-;?dN|@-$7m~mS zl!@OC>kO_B@?3h;se?=cKVs}cF}Pzthsl)FP-}b9=Pf0!he(h#`+ z-lJQ#u4>=LsB<~?j`~lH}P0&?c`aQkc;c;_1-6H4S^w$2x7z znV85UI#eG-nhg3#&Y`2J8#rfzH12s)d?XDvwji{okJ(8Q1IYCOMH0Ghy8D&t_1fBF z;W|IGD-lMkYHw4q&4K6$6KdzD%o2GGNHl zBv~v2c7RQ?`7Y}DP{;YL-XJxE<;+x@hh2W`W zIj_dF{b6AkAB~R4oMPiBN_hWxI%)tIK<3&XZwL4S*sUhi(E6fCMokPU^xPyNy>JGSAW?pgwP?a? z;fD8huZi+!^f=-u0_27E$Fi;C%Ym;wN7kVUb^0;mVMb=rDPFWq-QtjCJj=wb7}Q7M z;qIAsAcwd55s9-=AljTjK|}*QuNf)FAnQdml$0Q?3f_Ma#`6{QPhm4r)46vUhe=#; z=$NR<1QbHuI5bP*%LY&qy`oIX6vUBRJ*Hklw;fKP{?lBa_SAc%TY=XB^isGOqmRJb8_DLnby*nKs5jI`XJKFjaK2pu?y3 z6@&GeV+Al2z+7mHZ8H(GChNm#)rY?jpy72+SeEscFQ}XYbESn~c#4?CM{OfyF8-Q> zhC}TaFbByANoo6Bqvg`7O`0eZq>@*s0O3$-@^3e;zn-bR&>|1v#C()x03Sr<08#)! zVh~fPa!cWm8e98jeQ(UuX)9od_d05tfe4@(v#q@YpbQpkB)+#mDaEyzy!QyN)%juDagsMAgmhkGCjW zdNII-IJOKusN1jG%D|)mxQjQC3Z?Scj1 zNZ}11)J?1qkYXCG>CJWV`Yo^`=K95mCX!=lc)jIUd-Akz;m;-x;{h!vt6pB6wRDFL zg^pTipi;14N4e=!U5b-1E1$rl<}RPwqQ{=#Y&vdC3(f=3wI@221e>l!5bfDoDblUP zLfAe-*-XTk9JbXuPKRGJvxl=#G(4{Lgjmos_O9btfX5E`Y!e0+HwSPwiQIIY!{)Hn z21tVV(SrqJYO2)2h2wwrQ2aP{*`nQ<%<7=HV zp%o3OAIe-bni_5JCvF=3Te}Z9xJDb3;KKDOI)kxPo+E#T!F1QC73lNu)5}&oWJ+gu zknqY|{Pm7STO-~r`4ysl+}o`7hkUn(z32yyVbbW~Dr-w=hp|{|&!;PjTJN@trsqJ5 zf%+bNCb6Kn-_Qq(RJRzI+T^X3qro~hHHHrZ1C}MdkFmpu#Qo!J#5zB7GL{* zm_%~MyRuDu=~;5)#n7cd``nq?Wz>ni+r&;5tqY!v>c6M4`m(XrjY zXUKI|@Jy4(4g0HjNSr21Vmu5M(|kI_O5RsPryMZQX2V0=!NVRivJ5Ok>}Y5xy3B*g zvH2@0=zwZnBL?+E>DfA4m+OglROqek@LeL+#{siNvBop!Xz~H9>82npBVJ2MX$;+T(DMf3P>%;Nt!ve@ zen%ZTC@J@8MDrxC4t9SySW^1>vekXRrvQI|R#9hDT1g^tNZKa1T1U@H44=~xVjU$q z5jA2oaZ7ZV12phM6nD-ohX#hB{iN0476OqmQ#r3iyN(@jX@TVRJiuJ3_K|!5Y@pk9 zO(LH!P727>BQjK1;`M93mC5J-6pHT%@sBly8Tpv_I<9*kFFP^R&{iw4mLWUb)bj1& zw5(Qln*=~v3Qq3+wo5gx`RdKkhg$i%zQJ$sI(b_pZiwY*LY2WMnr8wx`HBbss8(K{ zTr~7umzM8Uwbu~>UfE9_dy(86hUD@dULXBM9ZKG>`EJmBh|wyRbPF(h?umKF)c0V8 z!KgzGJ(QV7+sew+fl;6@|?KWTx?- z?hzYKVmE?FlIK12wD_=xr55u{3~xxgN62?vXF#XgC4s^g^=2vtmbM;T%f33Xw|}VS z`|2oZY41E)TC^t#e<-qV((Q})wgGnVJCa!?e=RF>%0Z>{JyB8##7Ny$Fqvd;jS7Ps#6v9~&qJNR0T4>Xl zPNk)|+B7Mi+Oc~yK>$#Za%WS}(ecspSCqR5*?LzEXlQRm`cnOXX^6{aVvi+ z2P9kD^}Ay$wG;Lj@MpBS-ft}9S82n?Z`kh&^JM35=E_ZDM_!R#lgE$5rtnttvpBfk?8o|J%Y9EGE=MEOt@D&vAaPc*b{n06G!Soyx$w* zi5rMgs~X7x>s~gzYyo?-doq;ui~EgAzIjd)0jAGX9_ubkpmk_zpynKIprQx&D#Va= zkFsjPBY!8xBE~v~J`sMY4Vz$xuXP%P)({Mi=t75SzVGilY5{*As7}cKZdv%+BRrjk zLSWa0KbAiZhjk=tO>_BTyI5rzXgFi8Pb@CeXo;xQg5RUAO1oy_=>&BXnYH7@bwe@PY2~sW@nR1so{@-(F$HPdJjSUVCsvtwH{)l;PvW54Zp}0E48mE zDq&krbKw(8;GCUr3D-@X_DKc?TH_A3tqdc)3JLGd)Z(??2laOhP+8@#JzqKFE z(x!#o*BN`>Bv9KR`npNq?fc+EbUc!~Si?l*mDrYO!T=LIdDl ze%Z>!lOviqfrZIok{K%M-(%Es81tF*S9~;fx-?kmRU`HdLFAV|>U}YGChBRe#IOO| z8z^;H-FC4&w)d|vo20r~G>tUdL-30}FE6a$b*{QbFl+Lvbt{9vJezE9Td%lZifT$7 zepu$?TUDoVQE>~dS8o>GMnv~f4D(H&0W=-<8%N`wRFy+#^^GfvW*m<`P@8g3H-y5=Oq8#1@Iv}AQm#-eAYcTu^?ZoGrQQq8HP(Q$0$k4> z6iD1qekbr&()RJnz_qshuNMydWX5$zxuPZ2*2`wR9;$Z2Vn7pl{Ok2T3I6Z(o(gQT zyOd&UdmuH{&B8lIXa=2pDQJIhO8`8Fj2E`twC3A>jj7crmH%(BLmJB_!}8`q3od#3 z?zjL*E9HIvt2^p!L{6*h=JAAy-s#;%tKtw9BjSV!PT<(mHb4fXSb)3`N z96&RD8Io{AZdCZdk@cp&D8}AbzmMSrCQyB`_cBQqL)L`Mur@*&PJ~P8XwTlsq$d_u zVR{k~XDMp}!XHT>=B2O0jg5y#O!r3_WNd*)t8Jgf3XtzD8m#;v`Yh~}Ify`QNYT61 zf$jBO*1>D7+!HvPe(2$ys_BBpU9soF{svBlWU&*zHm2dYmrt_ZB>D)Q@0!1v6^IMad4L{U zgP{_{KBcGL1}I=@0mi)Z?~`uwicbR+$k)`2Kh`h@Y4#bjF?0Mx92v*Y^WKCLO@h}7^fcAj?|(AB31oVTr_0+=MvAGV67 zn&Fjbo?E;&xv@~ZAOFLQ7bx9krf=FY7eQt42l#sq_cM6WS78+%fHAyxLfgC>?t`mUEr1Y&$l!dCXP_fN zZDk6Kx*0(Q9?MQ{1MOPKEvDTR;kYv~yLRG2y~+d(`DuZFqvyyV zz^aZ(1P2+q-2jWkHI9HrR>Q|?hJ_`s_uOs)@<&(c)Wpp`Z{?n<>8`O(=6O^vGuzy{ z2&$_oiHKc{k``mE-gCbVc9{hP$9g;3FR=v2)jKN1+}D8p;5@J{`@{^S!@z&4nMoUm z1y;zYfU0SAJg3S`z%dju0J=eWFUmVW^!$*O<5i0jZsM}NyzS#;uZva1~>#N}DhMXzc z_al4W?O2y>H!onV-!z@4OFS*vA5&@fPpz2EX7 z`)lD8cH)CQwCImY{0H!SjvX@(CrnJv)U^k?lu%Od((}Ou_$?(Bz03}L_Q;Ky;#Pa9 zimv1`$7KGWyMdAgzskkjLL?Uxa#ZM7sCR@b_Kj{a4WYqCrZx+_nkId>3x~8mR&_fn z)q;ZSm)9AV`ek`l9F%5diBdJS(o2aPeBLQ9YwEp`SjTV6)4{D`-i9CN+tuOKskL1V zU11%{^GzH=kE$~5L-d+7A6U^VF;4x*LDr09K;99w66OJ*{!OVeKD9qHd33^(b!6i} zL8zo8N{2#Ruf=|wFiM;ul#g~0v_1%j#Do%r#y(!IYBe#+i|h)Oo$(6#Wp4)%obE$5 zaa`rif-p#?F9Nedp*B*UQ7(8R%$MO8F9DGk1)~LT0SvTCvn+e_W0wA5AFe$Rkb5KM zY9%bMX_ea+>9o&gzG0uY;sXu{YI?r1iEov)17-b?{eG+^>U;Ecve4Rd=kuZo>? zeTw5o&$M~3)>W^6Uk9i9I||N^0JZl|{Izzxc{HMgS(L928NdF#rlOp&1*MQHTy2ec zSH^h+bI`i`T09K0Wb!sgTrPS>h-S~ANs=&b&R^*&QMUZ(>V^5#;OZ`aw$j` zBFXo9B=Fw7N85320qM9HyC439{td|t z$pbhlBcjY^QX9vFCd|`c9*SmvLiO5JRk({Vdz#rKDxc{bs-(-?#TE3b+_gZ+vWL0r zuLusDy732Ie0qS4F012skQB2=&52%>ez!L_Qy=C$SRCc_Rll=R`L}|6Y-K&`o4;h zJ>+m^AHuRH{3G|ej@GIXq|>Hjpy8g3J41$6=sEq#I-@z-Nv6cUGWP2|+XQCGGy1O) z64@+8#_`1ap53_wk}su^iM+n_7{BoJFx~VVzwq9_yPc}h^ULoy`R4o6RI2Z4npox_ zZn#ue(dS7_t+HeTzJYuO7$XSzlnd1UG^$*-rGrX8Hw?WoX@^JJ{B}(a@gg2xp%0E8 z?1&_tS*|DC?}vAS-X>lbPRl;2FB9ecq4n2}$kzWX6qr`v7tL{PpouMpRj2csL8VZ} zRbKcxD`3+=uKZ=35{oEYk0o*4?*_0b%l8ps=5Bvbq7rO*<+=~Ca&x8nUp4A%F&bCi z6tH>wg~q5Eg!x3@ zs@{}n`7gFF=2`D3yHvo1%BwPRy{m?cVYfW!VPQHuBr`1_ktLf;&45{)-Flvx-0Q310$TjB&lBpJDmEJ`PLc_RoCV=96-&QueYJUUT>3cALwa{4`m~AmYv1$ty zS0?pD;$;XNbJgxnny*kAiRAL&#+vtwo_T}25_>ieLz|!7E3L}>$7B}viJHv~5aaiA zAK6@p(h=vDOtuy<>5qa}E<_bwbh`L=0n1;0V&ba~2$6g`@lhjJ2qfM)MUApBYVt;m ze4Qsr+Pqb&8D?V=TD*D=gOXN%t`L*LS$-w`cZ`%k9kWkH)4E-A@_*ThHZyE6+x__l9+KAe zl#r$*-trqRnK8#?8%%?D7oluNLM_xHjuISO7GjkDlgVFQo}OItK3i_I0^g*t4$(IsWRV!o$X zEro3cxQA)8fi zDkF}^qn1a<1duLDNRs|ydA8N-fOBGVbgvN1K)Ss>*&fXX(4v}M2yR;Tti7TBR;}64UDWmxrGteE?A|yv>|p8|+O1xc z?BoQ=*xx`iW!xyHGHiR^lQ#ws#@;o4*QdUzSb~1h3`iSNq5WsvbCo|7Haw&M{VS~5 z*9QHk{XT+#2ZBOMVbK9pgQ!p<;ZztQH@--?pR`RiysD?YLMuPA>UnpC5<8lj@4ce? zIyWPC%0n18rc#}U0aaECr;Fo$^&uK zy3|MNu*%ildq!|Y&(IqOy|1ij=e4pGahb_yVXFz`ZW1cu;nV{3T#dcxbk1lzU)k z%n3ZdZ$~7(G#B&Se8W^VS=fIFAUzDkh@+oEdM;iv^?v=- zbMT407caN;%)cA2+);FXb!}dahwdbbogFEDM^v@StL&$F1R*zkidSBnQ2xGw4@X2z zkA0)oV^sU3!0zopBbmyx%k+R5{~6j5Wx|5Xd+35qAr8s>#O)`bux(ea&yuXC!QoI| z?1Dk+Pe7pIXvMEH6ZU-c@kb3t9-_04Lw2f2!XwuW>$td4I?1G>b)AQ=6(>t*p`+2# zMV{?NX@xwLU@05E9>JS|sftiV*_YEHnD{M~NB4o^cM)>!U9xDkbhnXQ?m?W4=yBV( zO#Q{-K{un@tHh69?BOnx78%ccbClbke_W-;)S?!k|=CVA2`U30cu|M`c2dx+sNOfjJy^ku^S6~BKUn0 z$ZBj_9CxZO^h%WBxZZ)AYwE}#H9Rx!H5c3G8Dgf+%JDT#{;0-qqYChi$Bk7`eZV7E zCS_RCmkIm|@UDaMbA-Oc5154Sd`h~FB7s5i0!HZNTL{j|)eHC8q&_96sT-(6SdzWtRY=B%Rt$ zbb$KrJ|Mme_8F`tOSoHqSpg)xZ(E4E(43iI6H#GLn|#ckrs=pl6Tmd2+-EfTZDLP7 z=g0F%zQ;g%LOMX;TI@`9qUX;(D}|8lM~v8U(=|mpe9Eb$Tr}^06N8GMrkTGV^jbEi z$g_&6$QUZ-!-w8mpi5l7VYS~z`dLwbiM4q(MdJ_67nk53Lq@!od1dPN!=cZT%WwMR zn$B%CjR-H636bbY`tR(Ie%@r&i3fz(&afm>sX4~fc=3=t2E4D1dcP?VX~&P#?!QtbauNxCmC8Y{Mz(^M>eS^Ic3Jav&;xVt`)3U z_Hi&=zhOn>M@M+zyX@SPj&Pm)N#)aVJsDH_?11^fmi3t(Ry^^oe|7k&+61k?v>Bb70+o-n+K65`jD_2P;3 z@4%SAtU-Zh(2dWsAB>-AW}$iwx&rM)2t){EPp<8&s)iI&U5(s&FUK4hh zt|a?&;#0H6MOW9NM@%`dC|P?X+>1g5M3pfpMY2PP>esPwN~aBv-1HZ4Rvpg~4E&05 z<{qGDVI7!|)UQk7K+}{lRqc!#5OqfhB*u?f{fFgz2$2;F$scRbqE+O2z#*3~069I> z>zGAl_kfa{o)40jdLtW2x7z-u)5AuD<&ja;>_)nbtg3`8k9e=x-$r;NM&W zcmBz4*!=eE0+H}{xX}lCH8P?6o>41!?Zn1}xgQXIYyR7#PFs!?D!>b^`OPSbH$g|_ z$!FWXwi$euH$l?hKlMS~D09)AN#{(bwGmh|h^ybu;aFn1ymdD%-uktVoWXdCuGU_P8`1?cCtNd~6VgTW<>g0mGY>d8P+m~CqD}Nrm z>a%y8LOWk3pBn==O}{5b5$(AP?=>3z>0(cb$fmIbc}-PAPYe6Ts8=Go+Fo-(GQLzo zi1n_<2u}nt-{4j~kwMopV(WsPR}s0GhK#o3o|fcrx$0)vk~!eom8kx;kX$iE=;ah9 zKbRJMSt?0%raA#A5+y6jUdZbg2!M%#*#ISrr|`^~w2~v{F!{@cSX-joEf$9z8dX2@ zA2#LL35;toYit`5rkjv($OlN4#~aj$J(#2mjO{$nr`}T&2B3>Q*I_Io&5r7T5AF=u zju&2Uzn{`Y?sozeh?F45dHB(b;Ny>dW9G8vUvpUSzu)=}1Q}fp&^Wo@u4vB+87QE0 zEqN4!M|0Ve?HIvF+iFn0EB8M!L@YL!N<|DH4~_{wpqw|N=xDKiRgDmICEK9?E_3)( z#95mIN*5^ut@SRwM3p4Xvqs0)j037@6Mz!8J8pekqs1(tb$ex z>9dOc6g20p%ry=I0@F}SRRPG3yoR(ZUU6WNUU^6_%AfL(B#^~vxL)-hTEldZ`}`pt zXyCOot~-8}3Z_cM^l(unmJ=i1bG`oeBf@C}*QdTWCJUHem}*|Y(^G#vxdg&$*nUgN;%}dM8N%nHqdxg1ekH`*aIJfO`49~Ra2?0}kX$b#GoIbW zvqk<#Od`+SAG0|!7izYpQGKf((`Z-BRD4v=DjI&LA;>naaj5biYcug|DV(*R_Qg85 z(SNfnu&>N497oBtrbC?nxp@&Za&{Idpg6bY^>x&eiVd}UW)P*U%DtAJ4sj{@=d)-n za*Axd$E5)$oO;r)a4h8ojUWKSNCy$YgXqOfF(ZM@){)3AS1Z^0Cx`-ycTsM*d*&T) zRWe?F=hOyTC?Z88KtF&pjK?Av8DM8bu97!fr*og&_a4wz?GB(IIs->Q8V}qFbx3R< zb3Ovz-jSz%3AK#ojH$*lk%bA;3LOWOtkF6Eezju^3>`28R4;OJ zcO}I$2Ix6u)Q2Her^4?lLVl=cC7W6t4SF4-EG+zq#^(1jtY}%`*8C$UPKGV3z;`;{ zOv_1rpT|8OO%;D0@F#I-@;cwszZ|qVC&}k*l0P!K|0Lek%Vt2L7S-UH=_y^fBPtj_swP@Mq4SX-e%G!43bKVtn4Mgt!uV zBHbYF$5UI89Hy^fb`)<`?l#ueummT^q7{iHeYO430=2FGa6}3$SF;xGG}h228O9QQ zs?-sla4VtuRu^}G__fIcyc+~b!_;vFgU4RtQb~W?OtmP!!VaUX)vh^?IJ}Qt4YQpE->{Kh<(ewe{m^yCoz)?QzNd+8YC4S*e4m{YESe29vU!$c%W>WHW4^@IA0L_+ns~#)d+y3~V+S7qgB-58d5&Is#3wWVFA8!m~Z7a%;krA9HE16&CGO4Lbs zrRAa~ISwp3ax-sKV>Ea(2z3V7<)(TCzijeA3IKXzxxj18Qu{uxQf_wKC@c?W3=7*PsS;N`Dqy+&_=~3=F_`0b77NMVthBv zGOFOl4QFtIod~;>@RQtF&ZDb>s4D=oGAKt71~T2i$|f+XcE^^)5hbD5+Kf=Xs1Jn0 z-{0ye*~0`b5J;5M$WLgg{C=x>NxvQK9a=sVEXIg9%>B-H@APvXd&JLhm}67{J{qsb zCqDiAgN}5(^>af83#_d}FNuzb5&}U>W;UKVKX+E#`c3VkNqIM+%5mQ)fCbZp5_=Hr zp#T_IiPH#hd+#WlK&69-h?H;)NxcEb5i-o-D$q}AcDdC?l)vD*+`SiY<$*}A2_MW# z5W8Md{wpWj`)VfxJqWvf))Q0)!bwy2T9hxwN3e-L?J(62=w2e2$i;?z| zIcxN*b$2~;3o)Z7QyoQdKWle3@Br~M*I9u2mao1GAg#3gic%AYeGW9pV-o*B=%$R$Y;4a%%=q}fC7M-h$mC5 z;~DkBa0IX%6bbcK?ZSaqR&3%Y1b|}U4?qSw z0N|k!LM9Oe=tax|JqX4N7J%Bt(6GJ1evu8ff)JbmM6@TAfECzTh!QptqJ(Fl)9_Hx z3PJ>0vNi`mF;~~?Kn;*R*py)j`D-Ps+!h^+WB@a>9%9YhT@$|`z?saJ?mNv*9u&yds`;j7<7olin+1j1O(!26G7{%ohD6Wd8N#k3n427$AW8?D!;6;*F&_mxG=$6o zXNM=mj$%|Oc&JCvAgamsgWyDKQ2DEJ)x(k$?za3_euE`KJkh8{Pdo$Yx|C(eu~=H| zYo$fw8Uwh&{ss=k{lk1~m?%xNNEi}(hbYCa*Vw>{kWkEN%@qAt+yk3pC^3hiKDbsD z6wz4PD{>$Bu&cEQlN`*eVp%yY7T7e=DdtcNB|sESih9JP;z4ZsWv^0-#kkyEGb@b2 z$e_G+3_JN5wJrv7#2CWFuZb}53K@(H_y++j@YfY#lT{{sVj0ZX2HL~rfhqB=m^XYL zG6d`gwnB7b9pD5oIRJ`z5MEn{gE*E%t83QG8j+n6^M-SP--Sx8mS{*U9op2yM3_U@ z;qa!iaMA%OauijE+^dzqQPJvf zl~D)X0CvMM7AGtC z?v++CVg*=?BWA{2UGJ)V)gTM82(el$q72+!&A;tpB(X1u7_5D{6e)!;#lHd2s=0Vj z;2sRKc35!XS_4soC@c<8iyK8+B5X0Om|D~vq7XZWAH@cvmS=LrT4WuT6vYLT zqx#`$F;Q?hj2r?JF*Oe!3S$(*akxwDC5|K}i4-;2qDfJHp!GLH4IeuT6>lNEMRrbw&+8?FsTg-tVog7#{PFxg5Qc*?q3 zo5W6{tBXu1tD6*FgDQnNtx;jji@*3>9A?F~@QW`O#2{ud4WL=dh(Rl@r*1zlB63#~<0 zaFRy0P(B&b{1(Z+a-z6#+(=Gb3nt%SMm+-60=mv5V_WM*kY>aKKNmZN5#po)OG^Oc z1v7U=Kp6y2Awm%a5cypJu>hf~8JL4fH~=#Y1LOg^Wacl10_F~yr8sCJ$g8e>>_*!_ z55S^@2e1kV3)5C~!mbvd&{UXdqfDo@Z#QkO5@u>DV?H zAuz23XeEO>K!l86_3k77@c^&_O-HXIzN&oC{yG`%35Sjm1GD4z3+Ye$9SC090_QBEHWePN>6z0 zYkS?k$N=#$C)VcRdXQw))gjCjXtA_nMdNVdsiQrO!w+LQf5WgItEoI@m5Ykx3;w?B^u-`u z7*%`J0szYbWvRj06=&f+kpSQUs6S{tG5{Zd7odUUcNswb5A$tH$Hi3+GP5NG2q?Te zx{!B(D5MY4C-8)n0>}W~YF>a-!O27f*=?*nQUN#!F|M?gKt&-ys;W3?RzJLSE%nhd}TaNELF*&o4=O0OhW6iX~{GI)2O zy4V1BNOGelaudmkgb2|VgB>b>0OYvF4>^6D0{GD)RzC#DRq`O%;e+fM1Un*Jmx?x& zA9DDZGyEr>3=WY{4kiE(;H~BZ00IOca{wJIMq=$z``GES954aIUOa#i&n;3rwuBsM z1R+swiif*J?NRCVQtV+t#-d{YvnAvUvJ9XcAX@|<`w9g}A^_sxTgF+C><}YOR>^^0 zqYdEuXoLJ5jJIlE*T{`nITD{RKndhcC9EucNaa)QF`{K=yd1bAy;aIbpMVN60NGRs zUicMikFA3@z#2etFd^F8#LbSxUM9H*W)xH3GI_x?HRzzSz9c^puAu_bvC zbjbq~9_ng=x1dZ$I`EO=ifiA?k)Hwm1qx=kT_ zlRhGk!1|C4K%0CY^cs5>>AheRbdqk7*?~?v6HZakNqa@vqGxfp=vlP3ypyN|#bgwx z@rYCbK3*+m0Fe%L(YJqa|PVxrTllaK1MN{Ew(Y9Dmf-OjD3jr+w$-)T%!cb0@8k>`qsGdbA zp=Y6n;!uJo7>Of`?j$%8ItjK=KJ_fvlU5eG#d;5uU<8m&;t?B$XNBIRo@<)2Tl63R z0YCurLL4Oy0Y~XYVm{O(4?b&zP6P{JH@OzTBy1)-TFps?KqT#C@<_W?0jsnGx2ih^ z&W>0iRCG>KDMOQw9V+E*kmwi1VHC&&RET9soWNN!S&+i;yBsJNlztay0uF!%(8K7$ zEddZiNEH`=Z{-D`mt53%3}=P|Ea=6Rp_ZoGAR9CuQ2>ESFTpbq1@NaVApTtBB7@35 zC453Kc}&btW(ECkf6DdakTNka&`VTqBeDd6Nj;*a@-L|+cm@s<9}?3*J!P7FZ(*huvIXEtel_m-I1m(iU==z(FAS-7Mcq(M&sKP^I3H(*I4bzDI64ODsP707n z$O51M*bRRHrlj{zsc^LbKqLreP*E*!+*9oZz^)Wm$R&vt)gJYdUBS*liVLsI77i|; z5?O@{!2Rd0{7k|tK>!d))kCpnU`en{)C>w{Cw2<=AFdJvQ0@6Z5-cB<#Tuawz|D)J zuzXiCEEfpx0TrUalEV;-t62IgFP1>ajU~kLG}D-r*+d-TeKi=84F z39<75z$|qP7t2Is%zzOovOLXPD1tiWy^c=I;Ax3XtM-5*tCj;xtxDerV%KyL0R%fl z09?y|rPNXeu!|f5%m91<_5}_@Rf3k+bcq=(u9PHi%e3Xi@)ZfTj93D5%$CRj14Gn7 zJfOF%_N*=2mT$|z#&Sw6LAQ)LXbq@XuOYXk(=uoov)o(iA^VoBlq-Wg0-4eg09-Nw z(3X@-sHNf363MoFtGzAH3YkkRL)H?&V7G)^Mji5&q06o%h=FI>wvmnFa7BO+zzKi^psfstJOsLx-^#U-+`y2St-SW7 z6x)Y6$p1kuE1)%ZWGGk#kN}s&td|B-0huybI$rzURim(oft7^?39cd=v<*;NbAWiq z*uY8Fy{uzV{mhZ!kZcR|@-g{1=ruYByg2TOb-BG>R&THv z8Fq_%Pz~ZhS^`0sF+j~u3IK$et3Z>4091+CvdSP2^1W!;Dk!wULI{3C3TEgJggL|X zuD}gjjswgv0Cu=1O2(S)x2h{xP*yAhu!y3xJ(A$<(HqWtafx zN?{ZiGmaSpgkduOE}1dFpv$%rdg-N10k9Cu00M=CSj5WNrD81oiI1p$%mqd!gA$_V z#qkQTF%_8i2rYqwp!|mdI038xP5=z7836OrdfD|V6DqEDkQT$e7Hh1$Ws7VBd>+wb zL<7Cm%Ai^h1Mm$>7+;I3l?et;p~?^fNGVRnc7|bt7?-!OI*`BQRu%!gl`6#AX$o&+Jzg4 z0MN_n%JkBtc%s>6G7}3?yv1DA6_5%r#L8!`2}6myI#}Ws3=B3CJ3jIpH;>*b;35`k z;Sg+k3ux1xm9@p^#9C{H1i_)NthIz$xV?AUbftp%r)*$V)l!xO9u1;Q2WaD1*jX$n zxqn~_9Dr|dWTDluc(EsI01L|0VaQ@bxws2znkyMt*FJ7vrlgcmtbi5-DRGlM${{6h z5@6})5DNe&OFRI};UvJaIN6&-i~ua{f5E0uAOT5RfJvBX@eK%Q9n>IX zH2aym1B(_e>v_0%d?q5zc8C#48JFdNCkc~`N9x6BgKel>#j=gm=tIydRx_a$%{KL6 zsgVpOJBVeqz08ggFxA)rZC>>POCF*gi4`jV7C;NY1>klPT7V-LcQ}BQ+O==-XxT(G z79G)MZLOE2PjLrn4s({_0ZN4i0V56&#BVs$4E^a5xrkJOwbAwOu?gIqX<86Jl?VbG zZX+iw%E{I zu+$bgUHk($7?98w2qaiw))woAkinB-64GR)AlzfV56p_7tNSF^Vs7Y~WM}@tEC*nL zH^GR|C%H6u>^KNUndeMM!|gBu_&!(w<~Pfg%*4K?LSP}lAoFi3047le&Fg^vGQnAU zqCN69g_{;cO{F1G24Ky!Ew0FjSStVn1JBFzNJRi|YBFV-!c8-xmY|)%{OfI21&EtN zMC0aNO;9=F^bOiKb5$lyX=Tr1d|=|_uc5J$hab*H!T_7)={t7 zG0C?bG$f`rT?98wMHY*bVt~l{6za!J2iULR#ZZ&P3AWfpS-c*J ztu62$&?e5=vsiQb2A_dRfE-s;(?#h9pla3&bd;NeA!U0&&?(~aYW^kOQ-X-*Trn0j8JJ1N07HsXdC;vIWYsf; zi?7XxWEo&r7$x)}OsqtjLYwJIU7>=(=FD*BEEAg2%i3ZQU^BSse0SM8Ujov@dQQuM zfd!6IXwD67h#xDfz;+O%=?Oy(wh$(Wzed$T9As{I8gzU0I?-5bE#j9rb3Pc;j9w}^ zM=Lx|PbZkOh4>)kcKX72US0zBW(ZS&DaNEJCJGsfYD_~S)Z^4i5;IxtAc2G;&4T6u z3+nL}q)IX$`iB;BhF9f{~=iN9(aGr&sL4J z1HNY{@$cC-@MJ+gk)HZa!>4;Q1W*JBY;GZU2O!D7r)dkQ!Nq)IMm$qdI}4qKvF+G7 zF(5jg0o;hbESqD+pbWr8m|ckutCjPJ3TCjNL z$$|763Jd}QgaTDy^l$xWNTkwGJcj`F&rMmp3jCG-Y#FAlzK(Q9TP6%tf%yVeOIkjw zoe%^dC?u=CnP>d9{d88Jn@>E(8Tq&$(d}K+1R{gdnIgHHKHPa8?lW z;R*eVc-BA{pM%U{Rrin>lPW?iFGoAM5Xr&A)Zv<{eJ$a33M9&2|v-ZjRR3P;# zm7d80rl%t_KjgWv1R_7N1r*Tw6SWgt)gZGR+<=6iV!+R}*yNsr{Uc(L!He5QSi< z=d%O4{2Yc7KOvx10q7qU(4@!=4S^B{MxdwA5P*Rz6{^-kAE+`PR=%%u*f*^R8UwW; zZbMIM*37vf5x`}3GmAPZ!4_F51Ry2jXo9?lsxs%ExW^D+K~t6)%18lpdmx$Jo(2{J%aVDNL_^d-XGP4-zNbGjpb3yv z0PLc6pU}$=r|J_5AURl#jYP*=;jE_PFEsO~I^c3rKzktr(FA8Y^B{Wb z!QtC596AnleO1M{hj~M4BHFJeN>3YrqH3g{*!)H_pz!AMr2qOA9 zFS>fpBr~>V0ZRhh6K10uPkG}A^dV)%9AyO!uwo@o@*;0bGZ|2De{Bw=V_>g6*6^zy z8g_(O%{48ZrUTZf7snzJV`GQfwL$D>Jxl<$tsIVM!l82hsvlBpwgMyN?Sp^Oa~OvK`3D$ zRvAxYVBtAiqqfRZ<|UNmIW_=~LP86mbHn=CSk&mL@eGN&y6mA5gS;qnfiFrPl{YFs z7oQ(Qot7_*g_$804I1vSp$``csD;9|_93NsHZUy*MlPZw0_O<5<#~T7j-wj`su?k8J4XX+d;~Ce@akiGrjW=<~seB&Au( zhNPqN#w2N0OEn7mly#~+L5?O-Yi2y{HmcC<0Tf6Tn$?0DKtXfiuv&a@UNRK`m>izx zfYj%y#^bc;MysT4(8;HXBqwV6EQr!R5u(sbg(x$z2*9pth?Yn@qhF4-k$Zx~nG01S zpbW;DfY9&XEYl*oBz>nHLhXJw#&{?jF+*n5dWd2OeTzN>NT>{8xrs@*L1qj!XzZ^- z%v^L;s`spmnyikFn$V8{sx%^+IwbzxA3g~X2<@g(D<&E;fQXu_CSzz8IO75kENVc| zmD;nUpnlJtWAZTpa4FT`83AgN8B9m05MVcO?+hqh0b2)PgAAnO^Z7++^pBcGCu4mB zTVc>(S2)BXUycD#WhSetSPPWEcpvSk$wzsjw$H~X&EQYk6ZKY-DJ!EzfUYBFG~y-8 zv;km6;iOzob*0*~OVVhG8R-C)m+w*SfSy8W2)6KdB8(adwMRB0O%d)j(ZVTaLain| zQ6r0qMc7F|5N+Bk8Z8e~hXDL)dFpo%1a}oR?vH?573LF z@xXnuB;IKhOc`eiAV3OHQvwi3T?q!#jp&!uHHyhhnAS`Ep!8C>2?~HW2r_jr+)6{H zaf8ultTZOt`yw19Ifsa&6({MdMCe)M95k*0=2$NPUMbhnWZ*qmh6+9BhHb>q){`&_ zIQ2XMLbUBJBJs|Ek2bhadOk;g>k*RGVR~FmA zkF~`iK-bY@@FXl(&BPv}CImRCFskeM%N%A}0%w{{Q3@#6R4|GYV~f?k{GFzQW~rPK zTG}<0mC8iPqLwU$g604cdUE>>nj*ShlQA?VAv5DeF;zMeGEJvdQ;@;EFQ5?j0&UH) zG-%g8k|>$eDmM1gYc;iCo7Dp0&0Z8|%E^TO(K6$hBhX_f*eYo7{W^!D515}&79*w? z6QV}L^x{ZQqk|+X>*;Xln+C)5i+V`Ar}D-iCMT1gM#Pk2Dl(g(fXbn!ZxEu!z$&}w zI&od)%iC!&vef7*&7XQ1abMO0xL5L2XamM%cka4=r@hk-Chznu<~bm9t_0Ah(Wd07 z@l&b^N?>)NX2Gz50#4C!b#%M} zIur4slLIBD-Y{HhKG1a;wdPnlEX#rI^uMTBtT~b+Ayzb0h(ZT7O8Pofc3`a}nTpJr zO{A1=+J=ItK{AJvluXv>(9~9`0BE9~Jq;0A0w{nDKaz)iEG6Vi{aYJH za~8y)T5toX{pm)3Iv~`dV@#%s3O9w1COE;Bkx?6?B6R9G+@c|P3>>5u(y3Vr@)>#* zdv!$#Az2AAGT6GSQ+hKCTJb`y0_H(XLhTEY8MK075>kax2}Z_)HMEQI4`n72J)@wU zRLjADvjxg{SfZ9Fv7&NPUPRP0VyYrbZ1D*#T0Sd{R*=yJ2oAN5mM~MNFDuz;R;(`u zv7T%Tq`J*0k2c_aOE>j?Q`NU}f=n48RELpBkB`CXES+RO%{ zsLbG~!smslJ#C6k|1J(NOz29f)D|cw6n5G+=mbTqoS9UFSC$hKW@g4TZ%qz% zT|NY3==0D*hz84^g%Y7yJ}ZdA0ET>8Ppt|hK53Y5QhI7cWqVr0jHr}C37+axb*l5! zA__f?dInWf0y0n{CiQahIS<`BUdys&=R>sFX3V~M1DKMYG}EUe#wLK7R5touk{i@U zQI*98+Nf-lh*?Dyla5u5n6b58A|X}Z$tR6ovbR*9GS5q@*0TvJ!K^K&O?RjVG7ITs ztdHjE1X}45U}hpmab~J3q>3lh6UxZe257A8K@aoV=LdsVB^ila3`2r|ZbB?^B`76G zF|(M}Ad|rz;FV>>Oe>H`F1?8_j5a3!$$hZKY5!+{xgso0J9EaXTT>_`DG5GSRYW7x%0I^lz>V6;)*?VjZ zMzmy!s7Ph!6oCzp!#oa(P;Ze}K+@_?;j6_kY#pq=D0y_||r z?CA8AWOYilSE&XO3R=z%>-8`3DO$aS5=|kYYsx2R`BQH=G!TO-08&A_Oev-^jhV>l zGFf>6gag)3wHe3?xVTZxF^T|G03rYnf(RhRnO_wFnBb}fH~`GwI}L`JHBBSp0A88Z z%?fK%2*dh4a2)a~Kc1;FcxMkwty-8YHn=zEhCNmof;83O1wlQ1)E1urA_PBHXKYO9 zM}xA~pIWR@&)z5&Q?wdNOkuvc!elH*;vo$60rpW4$>rAKl(#M3J{xRRD zhQvPwo$Vb-56=kHKm#oWx}s3YOX0G9c#*h4tVN`ALGbqGjVm%xc ztvv%vYrG&mB3rd$u2&DOr&D07Nf8GiY}JAm%N$vOgBxk8^+iWnix;c_c8RuBIV{%~tK^DUxbRZoRPzqXM3B%vz;E)l52-z?<67 z77C$*5}KBi*I2h$s)9MUSbWvcfd_%9*h^5a>akdvu~DsP0yC`|Zxv(4umY#5+)8fSGU z2CWjV=P)nl=fbY~|Eg&6Sv9T}QWfF2HL!^B%zReNR#rtYlY!ZhT!!xyY+n0zi7>N% z!pQZauuHtO=3A2l7*^G1;|y&E^TN8?5tOZwOqZRpGcan^N?%4-VXUhvW@17Tg1{id zX#QI5mqpfsLZjs^VC5K_PC;=1q|df0BsFQ8Gf4?}J4L1|0nw>%g_)Q(-F00`VP+vQ zTj%rgk(%oiWQGCM2a_=x0v$_x00|wyoKP#N+RK4L{Ze8OF(~it0PK1PG-FapW0kFBmhh(3HL+%jnq$sslyd**Z@Kslr7y;kO z6XkbuOb0Yz3s$8<)8Gj}Bvf8YDww^-G-3|m2C;xuYwFah1tVAoL7G!}N~c>;Mp?lY zp%i}I{y_!{gVpNbIm<3=QNN>~^Ee5xB!t8$0hTjL`$CNp1ONmWV6jG0k!w@jW9PHF ziJtVJ5A1N7~t zjk&&ITea~j=<9P;g%Yr7!@jSeUNlw3{hI-V8#|GB*gPz@ZpEf?bFsnL>QFd<7XXc| z9%P;ytbq z{57}-pFBv~@9Z<0k0)0}g02iCb@eh0${t%GZDHT*pZ?pQ# zPm~CVvR^JL5i9l@J60IVA`3_oYX_ukSV~DV%3H-sWf8J=Eqsy|rKi*08)_YM1Wh#A zw*@zj!IO<;TluzvvH?M40fh(E@!LWcM9HkNYgxDf*TKc|mQ@Ngm-GyC+5J*iAPL}* z6&4&JqzpV+ZBisbAM4TZLNNjQu`_{wENCeyXVlBf&N!lEE*3k)%)?EQDw-!G0bDF0 zpUbRXRx1bNF~Szw5V2w%&@AZY#1NpMp1~b}vIL-uo=H|!APKq02?hv2U7^q{Gj{d@ zB!VW*W@%e$pLFcK39fRC9lnKOb_2r|%$zc|K!Hfm%~B<{D?^QYZ1N;Gdyvh?x}kmx zidmb8+J`B-ik;3vWp4yRfWE9=132Qmh{$GN8nd8TjO^HDBYQAfAb<&MY5Iidgzy10 zOOo9Nu4ch{Fabyxpa3+>k~IsRXWe=gAU(x~pyEU#`o^tiXR|d~64j7^C;MS(={#an zvrX9-9RX-6Yn?U9Drohy!`KJypx`=tlwHs&Wx298S*xstHXDee$}F&FEfNQfwyb_O zEvui6%W?BR_)`WjVK@z zT(pwero=2H0pPgcHvDLv10kOoE!@BeL4~sM2<)73TgUq+540N8GUR{yzCwUD&v)9d zvPqf<1#=!iMO%u4XD9=-k>voDvOYZ{phed=#48OJT7R;DqFpnfD^gklWQ+sqI$Tvb zVY?XYR6B*`QQ-M3zr5*<_j%I*~U-Hn^g&u^4(ydAe`l_dw7XegCLyV=N3q7!lnx$j`6+p(T=M@Z^Xe{)5A{yhh_yf{o8Otu% zsvIvmuF#h`(hDST6l1HDgiqWm?}>}7lYvMyL6{U>5dOjpVWqK*E9?=LIPs&{8Xqx@ zg|Ix<>xygJs(c1IR?V0uvbJq^jo`%rd)kg!^B7f6sBIS^XwbH;*JjPYwKBwg;oEj9 zTJWOV77#bK8Cy3%_OE4&`tlFHtfq@iLgnGC5J8x!l@J!tVrj)jbRl+&LWs+{5N5V; zF21nLEnCZ>My?eRF0lfH4=WVm$qJICx^)q%ZnuOJzb)a(5Jy-sSh8++@R`MyiHAKK>`H}@=A9QV!;i96s8OJ@`vVmBoqPJkjrZf&j>pLzkL>EIJ8fCuc6 z5{;F%5?WMi)dy09k`4aQBH`6rq!RB0bL$9MqoZ+uc!b;D5<4?KxWk`*y*6_OAkp`WN+sTi{vW@!8w=19kxDmBz% z0(T=YYYcWaaCwur#w(-+RM479{D2v?mV{cce&lixwpc4BZXwe`)@qSjz-=tZmw zfQUbw z;;O2&p!u-P0plQYP*}27b#W^$ue%!wnpH~p*c7WgEwfB zxnU3>TvlG3j3H3pVtIhTAi2wt3pZrtR%)%C=)%9^aFpwSKV0Av0d}N?$CQk+6`m$yTN-%gX4Pz#p zSA}FK(QR8c?cgpO?`msYj%^#a$qB+0+LT?>n=r8G*j|fW+Z}@gsMOY%CRl%(9v1-` z$?D_6xzf0STo=F~SA)V6pgH(*Ry1ymb88~^E#Nfl)}qe%xsk&tZiCC0!@&HjRt{iY zjIdK~D5jqKT?OX;4;r~e#7J%pv<)S|l{LC@A3S#2`Bnkft2gbWc3NuvtlZjr4o?)= zxj($;{9GDygG838k*nJ_xE`c8 z>xX%ZB`(z#w^p-6i{iDWi`-oFt#3tryG?u>Qc|y73c}Q_ToA$quq({5JBywA+-S6EHyRGL zK+YY3qpD0AxHC7FEGAo6HBE?>7;8$M@OL4(VFQHG;!)s-Hxg-EeGDw zOKlL;5;z_;pGKQnq@mg<;R|3?t7{WCQX5gVv#M*ObMhbrhn++@A&gOE3uYStLRMR= z?ecngKWT&-wqOS?S9^i&)pkr>x}vle7P6x*9dYt4tX zFL!OkKwC>}=qiK;s-A||g&Z)2UI6H=>E<07q2Sv0V7S9M_Wdf1A{WnAkX1!o%Rz+~ z1SnvtYj=h(upOkH_l*VP6=3DzTc>Z2ShHnLAZ=% zu*bq~)!Ur4xG&veSmVR6#>%g041}vGLM}o?;Jur(#Ubo$M+ZII^ybIv;OOmzWUaL* zw&p6L!QYc6=BisBoWFDlw!+p}hs-Ka&v60#(STObG4ZNG;pz39SlCv3nOG44n(eYP zX4^!#)#bKSWsd`PfoAgn#$E&yHddv!PBR5suQy{0pC~2lZ-a!|L%y!lmT^lXlZPGn zE^dR}xfS@*R@goyWi1JbgYGa5ZvEh0rpeVDv z%yH#@_1CH*X-Hk$gm1a_CBmy4pZT#iSwhlc@5`2Wdbs5h0>UnvPb&a|uU%%{I>1{$*Yj}T% zl7XY3TxFm61=OOBN%DmIz5(REo!bdwh?b=*PYBW4ayfL5m|QLItQyBhSOMf}L7U_Q zzhlL8WR;c+$8F5|U9O{e7iVtIwvMZKA%8~_-U|a)EDHu;0$@??CEveSoDA0y;Vs)S z#s5MrkjSrm$>lXm9icY7?q=f+Ie;);c zF4KY2;7ezy<43*8BrG<;G7+2%73L#D0Q-NVWS%ee7JSbF47Escfi1L?Xa$6>>n6i9 zy$J!iDxw)7pm;>kirMhZ41)bx1y~V=1dO=1=- zI0LMmU?8*eP@CR70hW`*JHSLs2WP;u=t`)ns=zM@EHH~P9fUJ*opdFhxM1MrfEajI zgrE3MmiTau4>kVQ5<(2TFEIk_ABLJGzB}-V>k2$_ghjRZ&|Rp$5ddBef<_ZaYl_ca zs8^i<8Jul>=Ix>$c*lTE9M|Rzb3TkE0Byh#JUI{sv#t_b?Lk=YXwDd%_#VP>A;?7N2KTR~X{8msMD=a$x>2MZ#0O7eIb+lQk~wksb)IW}B?= zlwkY=R^ya0hE=U% zV>z`{Xi+V93#IGjK{aUUjLx88Az*7*fwmv^#QG$vF^NC?f*u?UR1r)8MxRlDO$W6y zsKw-UA+YR}YVn1ghPGhiVl20T>gz;wc^9g4bA^pC2ACX*fVI_$utZrcfV9Dhceb@; ztF)oR9KgYpB3UNOusS%U9w-_ItuV7XVX+le#uI)az6wiW916`D2yvwD6nZE9=1+oXRb2OVff&j1c!ph^FP3Jibzpsm#z*cHuszdMqB8 zUp&<3m;FF-FcA*ZDoeAjU|-kfvNMZdv&w710T@|L1aKh^fz{c^dUZ~%_+q(Xz*w44 zKWy1r5YK|WIj7(rmJIketSY$YaDlI3zA(grKM_F;(jc{MGxrc?fnb;i0aeCny$frE z8M+4H)vbYW^oZDcU5zuZ#AvCvK_)mkgUK3wff>m@pv9dLF3Xwgx5R^xHwFPpcELbN92b`r0%-Z} z#h_iRT=CiPc412*?#-zRoWkQUALf-1TO~WF7?@;?Z24f5%sHl+MP}4t9f|?NY!kt- zRf{lesq+o1(B=x6V!D8(mX#YPUbupXkAqTx!{Q<eU{zmXFH8ufQ9EW(m&rgA^QHY)_#NCI6XY(gZIc-X(4 z1ecg(&}w}4?O_4M5M-d?tTC+4KU%g$kRf!r62)T00l${nsjhM%T4@`6FK z@NF7%bt__S1MuSS>u#)DbZM~+`=9hp(4wnrW-v3*ggMG01|_ZP;U~*hXdEW>rhvny zW?P%F;MQaqE=3ts#jXX);Hav9^GA#+E}6|9R}rFksbz5w_K*s|Ew{!@oAsxtPbWgTsb;%x+@xP_Vjgp^*ouo2f1d>C5y zSgbH`Xs(BmgKPstDKa=n%nYWSUAlNbpDhI{p^-rrps@?i1Vq913dV(~*C=K=2>IrV zv&E9&cNMy~vw0hSoslpWH5M=Q8S>Q&hWOPUOb|WB+TwI>8|>=^&$JOD%JyOeV_|ME zWzJhKju_B=Cu5jl&G2t@wlxoS9ZP~)gmTnATa|2j#y*1xYki%!`&ubu@D)h>GhRaY zzP^fptZQ`ev>M2U5Z|SUb!THeMTK zaSlu}8(d5Azc%SOC;Ru}hv~%n%>A%a%p-6^#uxyy*2cRo&hGQLcxXA$A$zhu2aID9 zV#x}KyCsGg1Qtuj0L55V;TNJ1DW1@wyzIw}i^=N%xm$>7%!FDE`)qLpRk3M7gK$RP z`jT)1TcX>qG0K=>%Lp}+aeINhk6QFW={SH3m`LkB{xdz1RtDdPChUZD~H^nULZdhC{ez_Qt|zRu%UL z3gf5o5m|JA7=$Cwb`QlV!hN!C%ZdB~V+R+DM-f2)h9t?5jhsSQ`<8V>2AE^8W~oe( zbw}>5b&n&6{Nbbu9w)D5488QSYgJW@wdI8eVQriy%kGl42CbtAx8|QXjq(BGAXp9v z$8Q1|s?=;n%(F#@Lb2um&(51w&6t%IQ4S7Y5X5I*Wf;Q9z}dCj@Gh*{GRS9R69K|F zhK9;D4?_vMUV2wJ@}}6e`7HZ+<&+^={N%vmKiRbP0w50yl-*SDJwh;FEd7I4RtI-L z(aP=M??X;toV;u8n{l!ZV!*S*a=o)`7*9Qa_0g;X%rRu4!vJ)q!OevFJ9WoFgUs*? zVTAnsf*+P`M-f2;t{F*~gIowJidlvy2Zi4~Ru3;_BLbjof)E0~l`q|`kkl|trQ2qb zfCFY9G)XY6*x7rUxD{)V=?}SO5%Qh5x2$R`8{6l&1J~a@Tlsjwf&$LGh}y))GAqR| z^`Z9lHQuvHhWE#DFP|fVtPRY@(G?lxbt37pQ+QFv5Z;!n1t3fZ8u4ts989U0 zEsOP;ieUEfOc!+~#{mwLfwKVK3s+b{0gFp0W($zo)Wg=TYu9@8E?f_D5{rvp5JJWQ zr}{t{x<1wy1Ci5oN9(TDAaby!cQWQDl;ffSfXih#nVK(*%E=ha z;TaGtBIiyHLfZFQ0wenYqprGHZGgyl8%NKOSA#e;(#5*k>78th?=EQO*HW9X8g0N7d6RfM%X=Ri}7`71#X8dsi8Mk#% zo{agAr@{8)U2+^bZDsZKI5vchLsEfKa!_ncxEN&&f4m}Ro1JB6it9F5N$5k|l3Br+ zJQ8;Gz+_#ZLJ0le?;4)CB!^M4OBwmTew_K7 zHA(M}CC#T|4%tlcRN|%8as8e^i41*v!|KZ3ZauZl`nlhY$sFH?6}0+)-f6UUERm3B z%Cs0RQqRlCiRmCG1(uipEeh<`pMSPG_^RVu;+fdLa?4hW8g8uy4lmayP=K7d@PSt% zXMjxCfELEfuU?i>n%dP!B`pg;n`K?_6aUQl`7I(b;vdcx!!voEZE1Kel&Tnc3LBpP zu{$TV{GiMURRZvANwb3pF8Qh)o-3Y(zG7;mkNdfszjV}CW^^rFZr&2yv#|SwoR z!c{x{Qk7_}JhwgMRDf>l+Bu#3HPhOnkGhi=C|QvDh4aI;UfXb5%lu`X$>o7rG~!eq4J1 z6aQV*{%sKh7zqtOOC9@f`La@9+|F(bpo zCB_3AN=&SxNre9VUeENnMzPHz$2^Rt*U{lQ2MCF6v9~v*xwHR;(Ur`*^Hq@nskXgX zFCh$h5B3ZGwyiIFa#6faQuKJ9RSuM=>ayl8YP7`oGQc#JHL^<8pTzD}w_uq#&GPpb zM0EIb9(C+hGI7R9%&_y@8^}!>xq35~G83ald8A$7x7*@ODW>#0@hPO#{P>UfIn~&2 zBP78DR_~0jy;g!%4hp&_G&i6pW3fHo14MqQ=I+_7KECS+%q(^ecEYH=kZ~+?x;kwr zhuPk0TTCLiPMD-zVIrnOh#1YK?1U*7)1d!8v{3-+LgzB+ju_s3VF@42pqaJUeaww3 zKPwWAhz2odtu+1>%S?W}-aDM3FTfD>c+f22RQhitvB`vfY3k=5?0LO`>Q_=hI9)Q>pg!wWDS+D6SHur=0Jxg%(8$Vo8IthX@sIns$i=V1b@r|peOKx-2$*m+yBc(Ta} zpDc0%040R?gPThJ8_Z8(43H~_j(@*M{FO5?JiR6<@pEtHrzX7*gGk`;V+_lY{)6kL zBJPlzu)FzfA{8c2Wr2Sp#{)L9V~A|Jx&5xD9ysG_Xg*~>un6t1Z2!5n&Dim&RxNa5 zm{I#tmCB+)+&2>R<1pnIpOrnw@BJAJaQR=!up3ZrTz2tTa_!|0OD2JYplC$x!|M6tX`nI~`*9M!#er^Ow!7O|ATQh%3=#0N-Qx zXMoJv;rV+tLU6FJ<3jYw0)Hw06(R8+Lij#QU;MrPJg{@~Y-n-`VjO;^Jr39M<$K z_Hhi&K}!kX*F=Tx0^=cUN<8E#U|jo;7qQ0#;bg`B$Ki#QezX+~_JJJ@V9)2pmb?7L zzy+giqV+G|cbIg+j{iwbmI)Mfb-kHNY~Hc^^1T2sW(G_-LwKw|*CTk~&m^*6!7VxU ztv-0(lW}}PWJ-iAnmpDVV2*v>7ohY4GaoRSY*z)gK4O z#m@Hr*h@yxYr?3a{-6+#SLk=wWco$*3B4=rj`#k4pw}crcc*(pzG@PuYptu!-3Bb5 z1#)@(`j-Cd=}e4MmdMM!8B3iOHqm?s2M$_fYijs94ze@#-{22c|FT!QcVFlDs-;q< z{M`8BQedejwDcg8a9xwhSeJBOrJ=@OY!H{;YLsJ_G*6>W*r{E8Gsv4iFkIu)wNi<> z`1$lVMHV)U_{rz>YFhM1p~Zc!o7SX`PS$ojdFnt9EIUs(ZYU41^pj{eI!Gk%uxxA( zzUM$K&RVUq_?!sD_AX(H+O|$eu1Z8Vff;@E*%WCy+LkM$uJuZ!J2g{aw%XQN+e&fj z*+=EQ6hgv}FT0i;&g+sEa+)?l)(?0;U|l90xjTO%K{fLHm($7tVx&-0gyr7bu=ro2 z)6z$_^@P6h3MVL8D=fK;kn)OpS#x(x)TOzkByEVEj@$#22Z<+gmHgl|(zrj_v9Ta8 zew*n;A3>y58K-&+p5iWF#oE@q`1PPHDzv=(jYKCk*zS<*3zA%ANeB3Af^a3V=!rJun z&i41o%-k1&w=Yks7Q7XszY4ap1}2ue>)xGBXH>%oH=9pTx!DwF7|f`j8KJ5U`UX8P zrZ0-7>EeI>HG1Qvy@K?1yhWkyaKLIJ=wLZ} zvjQNkw^H~}9071%V#HZwLRG85DUBtcMcaa{sN{*EPdyx=mV>WpJp@SaA?1eB*5=;) z32~)hN+;!qXbjb={yqe3ANBK_DxUUpInk?4NbofbF@*7`4itcege7 z=!+bRGg*?D+}QkCs-zVEI(bN}^N)Wkjq9_f1SKt#f+0%m^C1i1WsyAh2k)$BFI(NG zsvZkaoP(H@d$_zzvlhAOE~f5v$EO^=>9KtL(cx{yX^4f?=_h4QBH+;3nse$+2Kbl(6haNN}77ktArtWqJ4y*NRV_Tsuuu`^Rt_p)>8Z2HE%!alv?s;zK z0=Am8F!@HYsN==-JVKvn^l^Fdglmny6;m1cWZicUXm^rNv-J$=S@AXVWxN;TZ0PdD z1CR0IZ$OGbfOup5c(~; zAr&9)u4RUN=zAMMo)bE2<@)2J6VEc#q9{tB3^4p=w>9;R#x23jwaLvK5Q^YBc?$N~ zzVG46WU;$>^ttdtGk8ar?O#<|v-3fUK z^Id+RcvHq}Uo2%O#liG`?q`jOQF$2Py{EMl$>kjt3lA#_3Ne8sPp#?qP$-4(Tl)|( zwEJ)|JBN0{xMfkcfg|G<-Ai0PgfO&gYs!wffZQAwv zrrUUU1oDkhy8qo;A%GGywmAY^W(w=3IuQ|4MhQ}UgFBFvO1%4sCk2K(ECX%7?&4AT zZ>pv+rbfX^;oeY*Aam81slQv-T}q8P#h5TxI|0Bnk{fERuIGxS$#;z>5uQHttZ3`- z$yH?d>l)=gKQ-~9s86Nw>d|j0SF6uwlM$_n{bSi?PpR3aCdp$NYYMACG?!x%WAz8l zfsdvtt77h#a}@hNHFArEf+ie+U!-nlTZQUK4J)&zRjVb{9yt`~X~*%W(^x`PD6|+U z=;3&ZgFzYE%@S~r)a)`-g{IEM zPT*iVV4I^yvz+KQ_+V;1(y1YY_L{x{z1i+p^>hJJ&+vm@*61`6G9c!U6`X@~%Yq?0$I@2c1NX%W8zJua(khP1tU zb$N{uOFd*HkC8X3v7+1;F&cWtj>izVI@wRE$vohqEmrBLhQ ziLXFiDNVB1MxcfKw>OJqh4uFqz^h*5R8FJ@#*xPUPi$Y#Tx_)xUNYIi$5TAub@9w8 zo@i+*mDKmg@+1k}%T| zu}bhxqaji6N0ijO1$5DX4S}r{HnZL}ZU(PAhO?oDz~J{XQ7WD!nu)PSoD=%~{?${n z{FoKknomgr)D>7)P3|7-C4cI1DUb~kt@6FGoOy|otBt?x$uOn&fKM1tk9s;x%LpBv zwwG8H)x^wHa+FKu&UCcSl06}5fX>M0Fm$X~n$c{W_{KIY6x(}Ao_eYDW&AM((%0kN zv58GmW>#@tf9@f-U@}N-qN!?*Rq24kB(WZFc9wU?vFi^{yUl+TPbL}`BoE&XB$QkZ zDJl~`12?YEh3+|4&#$Pew_wwHePs>x=YHi)xA z0@StbSerI5U^8Uo1(zbsc|)6|8q&tDH%k&48fm8QVVb0>tx`W6ytAjOMZ38{`=r3} z#iZ*j?vF(8&a`8LwanQT6J~2nfEAmv`-xS!ip#l;Vo&>DwUvOs-hXRE173OUlLgl{ zjLaQ*MH#$d`!;8M$7AjGdMR<=jqKI`OQ1G)$f`5~oO#=!&4G4*2KLIY6jKaKaa6F+SMHbwby<}pbT7K+ zmU+M=ed%f+skBn z^YvZx$zJs}E0@)J<@sLf)#T=-eOl7WUij56Z9k`NVWMx_*&iuXx@^W_K6Fj03vi!< z&u<$kXdZD!e5+o6HsZx4?PKLZ0iG3$+Yz2CR)%O$F#-Ic!PF7sB{aFazNd{zyLvpK zRK7fbg}5!+*2T*R!!JdiOtl#cFLn)2@|Z{f%B~(h4I)GdJ}W03@&{ghnc-{ALJJi> zJVB9Z$Z=zfh+N(Fh5X(AnvF)P{3$mh^AWNIH|SQ)-D1wgrSXU6^+T`BcA8m_<$L_c zg{=(Xzoy!D@u!h#*BR?ICiUOrnJoo^pG$DXQ(h|h*wvc>juW|qdRI=GFKR0OEAC+b z;PdMg*fitS_dT-3=>+`RYrXdAWjA`#mk`~OJp0ajLwct%Q}H<>O1{No!6F%P7T0+E z^^yPBZ&Tnr3qd>xe09`Jkso2ux{DLo>uYy%>G1k?f%R|vFpKtOK80V2A%e6uK@BRvpKtSr-AI?o%2JG=xtx0K zi)Z=*D)BSZhu+mE4KwrT-QXZ5U>()L4i~UFZLavpHe{`_c2Uq%?9<4n1;rz>Co|;< z^E0<;6N=&6Hb(^awT`7}!`&e15hm7ko1$>0W`p^dolvsG3UtY^bLdQA?^~W-)1W>p znX-exIQe#y>E-PY(pq8138MknD0;H_N#BzoE#~iDv(7#u4b=<&yH`P!vmIXDd11LN zVT&8P2eoH2D+x!=qeTGodDXl7aj{kkl-J))A91hDC+q|U&CP&d)I-?D=H_J0ec@U* z1%@4)f|$zoDVh>r<+`((o@Sy6ce|!v^mKdLI=h$N&D2SVh<51+P68^1Mwjaqmv z!DKlryeli?)3_>g)9viip}BPAQxfzL^o_d1#dPCE?So5e__mPa*>e^NKxF<*jGw3;n0v8r zA?;}`F3jYDix1>*8>CpNxoVUWW@h!vE!$t%BbGU35I@A7Zbp4899`zZvfu&M^umzS zr-5C>OErZJ!LL{7ZHroQyshkuRs#2A6t2VvXG}3~+waWX^KGl6|0OqQ%!KcdwOxwZ z;|VggKB=Yo7ctXrvoP+}Ui;&&00unN6xU<7Jm{+(?Av&3)))BsOV84D-Ts4MVg<+! zRaT^b`-2srAHU3HG`r;zIQG|^IRYk-IG-5y#UWPxH;o!Lg};6Mg6QCS;^hk30ARE zB?Box_h}bfs@jh~6Hrh~WGqb_YJIr!)Yn}gKmnkC$bxbDp0+IRr*sz2EXcyS`+^F% zv7*2Kw{Fi$Ly7+DtCik}C4et=h^V#VjS}1ITbA2W{azstjQPRNeUDqLjqkJ)j94!# z%uw^}ySH%%=>pQruOrssSg7xQJZFXS+_=}g+Q+nMMgAvJM9rKt2?rRd(Z&*?V?yv+ z=fM2I_Kb=_lleYXPZ+%G>7=*Mr@F7q#;9J?=Sja`E$1Gj)D<)>dYvYQef|Dqx%j|H zR*ktT!?*RhQ=eRz^@$VL$cW6FL|^>?5fL-YHt*?QK-+G0h!rb9#Bg%1F{#d zg^HnDQw@brpU}y`Um;%Pqkh(^ntBQaLK<001j#4&f9J1GRvl#N$ipy@I)iq=ku@*C z5E-R%aa0q=*S6^l&;Z!;H2yY{^?0K9>1V;DB3&klXeCiu6dPNg(C{itUU>cY+0GG> z-MhD(ooN73!1+^k`|qV(@59QpY1jN{TZvnT+eH^r>H1v=IS(v7+N~YGx8%Q?%(8;3Kn1F;A3!=?|5*0l$Wj zZ>@UzNx^T`VT=DA35TboH$5!7#fKO;>p4+;z`UHknyip=;w&DoZzW235R+@YW{@#4?4sn*r~iz0rk`~zhmfT>LCl}kT*r7@!4e$ zzL5L#2okC7|ltL{uSe6-p#X2bYo3>_ls5}P@te)hi zSclC!$V_kV<*j+p_oVe`I*gV5^`0)VRWCUNsB15UdE1*m@JebD4qLmjqTJC07ttfw zwu{JPn>in7@d_?}RY6kZ3?laZC1U<@ExzHklasXk?jr%!1j(Cr5kk{K|K6EUL!;YC z%_UwKzjXV$<-)FM{Ue~?ZAqijXT*lb&yUBODp<$U7j;$>PnXFCqdB3eW16xT8KzUI zUNmRZ`7=|+HKM(=?K4bqmEzYrxrxJ^UTr(uv(a(6Pp*;%fZD+5Jq3-gO2p!~!J#}Z zIqAOn<-^Sm>Iiq%)3rs+yqEGNGdGDxJ2B$Zq}F2hE|ey+~lP$=!BO)T2!@OsZ~Y7XDyl< ziYC|ng*r0>WU~87GIQwxR$rBz+;6?D_3|x97G^w6f5XOkCbT3#v|K9tSaW@Q9_&H5YUk^hu&&}Z zKyNP!iSajbIsP!CMe$OPcej_y)v%XYo5;v_rb~?{Zv81++e_IXjg$veX?h}Fk|&>_ zf1@Qd#WQNMZ=iz?CO0H3^$Q7nXV4;|nt`XW;^iRHa*(+BI_IxNSnbLp_k9}hee&k; z3Z=ljt%c$B&!8>~X>(MOJ}b}Dslk@7=saAm+v%IcoQ%=FJb1&;Pud6@_Az6vyG*eZ zsLAL6vd=qAE>E12XUoAn>9y5htI{NsUzZ6od!ug6hEb}3Tdd*QNR&MD{ zboR$Gw*!MHjF15SnJE;UUF}U-$9mZJ-$v$}Bp+S0?eK>gSJ%2Q+H}G~Y(>r|6|dwr z42owom5n>O>keXqeleq1G#?;x|G5g{@#OEVU};Gh?B2vN{Fv)LrMNg__}j1QDz1_{ zhE{0pd;Iyyb6#xgw&Y^CefnqrB2((1J7|=fTr`k-ecxTTULkVK*IQdOc%VM@Q2Z#bbtNF z%Jw}OE+pH);gBkyfD(Cf(f5OCfc!(R%x^w(HFCAC!zT0~#Pf-eha7?WqrVpB#J$$8 zVgjO7RdllDT|S)-GEBDmtC}kL9J1{dZdl{porzvKXMSJGH8P z5cjvfEym7BF;$x1!eD*Ie=hARd|p*!A<2Fy3aZ?+FuE@{fT4+9{>q#PXy<6h$Dw)K^U*Jzo4L*P-AU52st0^$8B*endI%6#7rF0p(b0E< zs1|G@a)bL>w470doPCJhRrmz!1`Zo{ap3fc%y04Qu`gzul*DWvxWwO@Cs@^Cd+15~ zo=f!iy-&6(f1AzjM(qlp${no${}XkU{=Uh`zY&)F0dViS>W0UOePLB@!(7gO#IgD3 zu=zy3dIQ^LG}F5adU(F~3&nqd{acKp&m^Et_IYH_Y6_CZ{WsnfWL%7W`s)4g-*E9L zenSvDDMY&P-+E%3qWc==byuIuMpu!`*!7pM^`u(x zxzn3YR2AJqMr2P=kz6v2S|)C@&}VUfOJ+sYzJ zC)rJ0s=OdB7W@2_Q@L*<_Kh(>1N(8ZUdha*XmpIBJNP*KmAFf(w?P#Aa_k>5=6K=a zvMp`WCn?2#-E-3pwjZW*ooxJmvp{*glE4T$Zshu0p>(INh-&`Y=Hv01y!c$D{=-;e zPh1F_%yD;^TQjwFqI&VBC~Qv$U?cG~0xCwp6_cdYX&I*k!dEDp8?FC|29JBWSJcZ9 zT5To=?Mn+!$7ytU0~Z_C=NM{ZrAvCo&}BS0i^i1FdZm;G{d*I!4@RFgXGLGAUhABy z4!p11yeN>K+MW{>wcTYY<|&UDKHZQfV)<;OUfEi zBJs(8n-qaH&!ZI?7wqX09!>rX@Wa`J6VL?}4)D&f$z)Nsz^#Tpht5c$JLMzt9QzN? zgqz+dh}a@r-d29!{I6h7M&^`UPPj0}@y=lL;7k9<=!u4jo|ZiBWucmc=khIGsbNpc zqUwOisrW7W^V-8|FaNoqKQ&9Acj-~2MPvpPX)jkQ_n}+X0S_7yO;Yq(j=cH$i_ds$ zFfPeW#||F?ywhvcq0HplI39orm3GZ-G_MH`VtOC_yw`hCI9Ti81NHYs!d(SNQjLN( zfNt);a;p-EYNPl?a;wXde6I<;qsDuQT6r~cHi1%;FB8}xZ#;iUp5}k;GQQmJ<26Gc z9Y|C1C+R39;!?E<0gy)rtc3i5WVXBD)Ls7qs^No5g}N)UMPcRC(mRW*6Av4)z*K`s zVb%-5+k_9&Lp*t1xE_1WYaPQM@|wd3ih!yn1mGP$Y)ZI^sPEwQc||z&hR*ObmM40Z z{4j>xOILzh(&u$qWAGo;VfcA_&x1bkA%WKZiPc{R+2?!ewzd)r$%Q{t{|unMhO7Z> zSnk@f81_fSDhRSS1$QR+Pt_uqL}o4het}*-%eXIT$vR_N&aow05Y9 zPuyW;?7;YXtJJ@dpM$w1eSY_w8&Y6NTy1S&Y~)^C4!eRFPNTHOF`?&+1Etz}3~s9_ z$HRh7p~5j6sz4MHf6>GXw83OW9d=74QWUfGC`kX6O`2ir&q~t{`GKrx@>khD7h#}42RC=Vk-eD16HSS-F5$@K((I8^$yZQIrw;r&eci_6^Qw)JU# z)x`A;Adt6n_%|=KCcx(6;lpdI9w!bv-0WB^ z%ov(|Tn{~c7`l+L(cSVb?`d=N{&stNH>b5=UZAyx=kD-t z7?e&lA-k8nu13#8dfI#=L1^pN3CcbR%nye(45boW;!;_Ei$1O@)*=ho?0U!~{<3h92=1R6oBin8f}4JIH2P_0XNYF<`iD-cET<>1*+LIyLShP+w)`=i@c{ zfgbj8Rj#d|9@2K*FxB%hJD?*b<}PoL^H=+pvyt5Q^d>LW_C5k6q%90uD6h{+<4+nw z8Tjhd-J;@$$E3G|jvs~PN34@aV*ZU3T5<{VO}_|u_3Or%+_#jyP4@lTr?*n_f3O8* zQjaF$cit4Pv+)MOw7*S5?61cvKOopu;J`7Z08QxP_yW`f&=4wE?EQ=vqdPKoxKVBT zJ=7)ww>W;Gmphq;dV0K8^^H+1{At!V<#7XuCBWhG&9a7G9|baDN_t1}lsGm-ky9bp zm-;=O$&NwS)<~1$<&0gsLl>7|y#=W{_}v;C~=hj`IAS73ln;3M*j_a{3Du;BGHSNa)z#se^0eb z7x;&;F{s7P=Qa9fEdlu;d#7&Ii$`x$fB-=6;KCZV;o|(IWz@WO6*+R)j`)4m01~9= zpGhwfrZ${rD|bYCL8=s;Nw?y9_Os`Yk=&m+;%iHlNAX)R$oW#bwY=$W*>|=2A`eCi zS!bGXpV04~vu~HLe1(r*?j4r3Z}DGhI{kN&$}BVAk3Z`|1Oshu4VP?~RIM0ly+|x8 zA!qhEDSMn_$Iq{mry&ATv{vyEvwKxNO%n9vJ^%<~+Go@5lJNL_#bkHS=B%<=@^fU7 zPWLTn)8#4v&20BFq30g(Z+X%g&6a1x>*Xb(Uejc{itS0bhz0_nt#3T4XuhyI$z*7mdMdN-jKS%4*#Tw;Or)(|+ss z@veFt$j-jV!?0+;eqlSm7MDChMmnWMV=k>doSNR(r_-961OuMinK4_p8NFpc??^f> zs73|RMRm@&3q-H=B>~#5vZuuw3si1@2XBki9B_KSfbyv>#nwu_GrLt-gEz7IhI*Dt z6=xII(=TY6`UAgoS|8J=%S3eFQK&Gug6YcLvn3n*^T+>R_Vf|ihr}J>`PW=!$i3gN z1I>Hb^`@rj6~HZSQ0G^j3;mY2H&QLW8=2|1mq*7t#SkN9 z+rx*UzyBt8g9@C1%i`sCcqdheSqDS2FH`~Q{ob=>+x_L66at^~Z@fJ$&7yKkCj&P~ zN;EGlsP_gD*TZKYAeMveD~r`fq_ZE6Ltm0G_B zp3>yw@9L6$DK0d=N@-DEj7>9yRv za6Utx+gj34a_mOSr0=YonCRbzBFLi#N}qE9AuefZpX$Cru2g}0cc!I9fuaH>I|0#T zfE!UL1*i@X4G;(NK3@GX6eYwaHGKZ<31^n#zwCcQc6`1-`@A=oFCsQ0o6Da+K=#viB;OB&X{`7Oe@` z@UZfn{N}&TO3+ms-X2;u&PRMu>xIr@JqD7u?y*iO@)zB`m|cUg*mK)M>$ojF@}z}2 zbFq9yUW+gO`qnqg@O_6N39uo?r#5djzxuBMLI5eIE~59&wyYI-kwUc}@nW>(4@i=I zp4u~JJ+L{FgLgQ=CLj9+1 zH2Z>|`@x2NkM;!rt7WuGt+43uzz0m_OsaKm6Ez#WV!;Zx9#9TA(|dP2fJFDVssnaf z9C9AJ(Z2Vk3lf>MPs>@0zZI0!D%a*-sEh8|z*VUuTwyppG z-%-+Eo>9FhNGn3HZ_)D*wjyXLw~#};cIXfvXMfJ`%1C0`*h?5D@Yw&N{!U5#HK4z4yAgm@cnIOVE`aS$( zzZLU&{uDtxSV>beO7sbnRyR1r?VFm5uA|79`&ksRU17w(s1}BM04{)<5E+aMAS!_Z zY2TUyf0zxqN2p1Nso%R6p;b?Jiw3u9-jS5o2nK|UBcLo0`FoG8Y1Bo8*T6r(fnYj} zk@_8ZVnB#%hq{pjvBbU$!Gy-`9kZK&Bxd$D2{nfo1q@a822a|Sp&oPJqV&sf`SlX$TWXQAk0k&Br7awyR6D-x*&4xjsjXs za{-?Lj5XDa$6dX^d^#Kd+vm=U^k+0#AyMn2eclS$ByT zIfAi?0(%eKMcKg|B%%_bHd&bOqAmbkp-}Z!5imnwlnClKzlYf+rU+bfd+b)Gr$&bu z4ZtyG4l{=8#dKp>uo;+33_CW|fD_A&T>~*;`LJ0SeryRw5PN`u@^E1T4GM10kQZBp zxr6P-C}V3dGT0n0Ic%80XA!snSOhH^X4a#oYj>ux0TvY@7dLht7CUvQa%Ox;;od%Fmuz?u6oznLpcivqfWc?dAae#fw3M=_tU zJb*KdDpn1VHlKXn+R>8_7q7MX@L{>!fAt?xbupX2{SBWka%mjWZ+A8w=c1b-y90!p%4rG;) zMtG86flLwiWk^Yepb#7m=tgD75+fo6cmftRq(yy#SOMypWdJq+GY4+mmJoobSw02v zN3KSaTuCP&HsB*~le8b>i>QBOUs#O9NqFFJwS*^E&q~xI|Z8LD>MW*WfiI5OU6&9O*f9vRC#BtP7KyU!-jy$ z@>*yIpv!$PdP*uzRubwv$|AIX?D^mXxx1u4B=f-MR<|$-B7H!IgbvYn=4lQ`%3dle zWUEY#c>kSdxE{4UTmcv=9f^DrEKPa9{EB)?Hi*8IJsgn-bCi4l_-!c5(}Vkp`%jS) zWan8UE@V(6xTE_*uuJrfc!!WRX21b%oud%~=7oHqljYGN>hhu#+yeqt*+^={5wJhR z$Dn0g1!*zvw-LeDxeBd zb3R2kGMGNV5?}{X#Id^B5eYGd^I)K=Jede5$dPR-OAgS8h7Du|l)YoFZYhaI5`*;d z8{`r|o=1kDcRCvQ9P(MB99Riasr)l`BVGqQ{H_On9hb#TK|28FcB^L|;Os?qp^pa!I9=oiS4G>gn@g)Jd|ppkkTS-J&+!iOjfrisA&7^w#n zkD`7uIMJ$LG)P2A?vYTyjMN3VrSM+tv=lljk{AR)7Osl#f&?>@0SC#~5Wme)G=~hb z@O4}pr-J98qMl_2WXt>ydpfb4Ya(6^{Soqm0(ra7ANUq&Kbk0@gR&iyO5~jE0`DYf z6xEIQ)!Af8yq(_i>w)j#8WthisR&kDFZP+Ii6|_o64ab1CODM{MT&xMqgBLph@xen zHV}}$v>0avev(7cGll3Q$PsdYkCEOE7)6ocrS789zsL^pkEC~S=WK(-58y93KZ2@= z?lG6qK^SfDKPa|fK`;xI-_PhtTDVK`DwLLZAifcuOs9*-0%zGG;qt-6G}64Qpr?_{ z(sA%o8W|`{bd5MARjwkF23|W1mJRfa3IZ;1cmPK^3h}IWQpsewji44FCDpfGwTKxM5W>R*r}24g7!glpi$6cWe8Y`MH{XgnuOT0?`8lgsM1!eutlj5rw3LC zkiw1MFS&nZ@7$kt96NE zgz;EpH19l7E%2BQ6-@z?Ma)4)qUmBpxwP?OC?lCGQX8NMD%ib7JW-aB+$NfWTm}!; zD&dl)^1{D;#wYt%$^zPsccqf0VrF&V%)#@)M>#|-a%l0CoY8M&H^ONfd59WgpUw= z853$m2AUS@RDOgv#Vg|Z-ALF#9vw_z*hr`f> z$p*xBn{zrJI78t}_T(0xstvFZItVfafbKdzLXdU)6VueiQ1GA-!nDigsvuV20Y_Vm z3h4{l&&*hrWZaUuSilTiAMgx3g%;GvAkUXO|;!8{- zijP^Fg8`aP+rea~=%*?zUx}&&j)1@MJP7#$Oy^GodJvfbvIN`$o|8*P3kTg=D!BfG zmXlP2=%X9&2-2xUQ_C>X`Xfz<%y@lnpXoC;>1P@-(uf~4a)<+n#GMTKZFVy1TjLX% zFj$USk$6a6F09SYp3)X%%eBQ`inIk4fkBpzcZk@B!Jg4L8O8@QltA)9KT9x+IxStT zy04@(>b=Mf>X*?h6^hhMh8ie=NTVC{tB;umsW2APBc1Qld_kP@{lga^C#`_w6O`%n z-jY4Q-#{i{0Z=&%$92qA!Cuej$;=O!hgwoc3r|`p!9n1U(WbDcJT~|YA0^5hSQOAQ znnpSdDXsX1CWz?|hdw-#KtoUl_VcRJzK0a>{-B!Cje!rDf1)E0Hi_a0nRH}$VX!V< zgrk_cl)8XC3T{V$km(V(A^&4wBKVRmgI-WE1>Qh~pu$|44j-WO=oefhaAwdA&I~}r zC4-Q@y(9j2BHDL{rfZnftvUd&P4)* zRD$dj^_BRP3uk*ITBEu^b))o?gapw^P(vY^h2g%aenLE%5KU)v zF(I2a9w!eTC+zd(k#Pc{HlOLyXg*#Q;#Boxl6nV9U?e0YW)+5~9(2rLg4_=zMhC_t z*Yz2>Ou6&#=t$X9dJufzdmt5ZNVpY6wJwMDN3^U38W~F9hc^)@n4ox7xC?V5i9W9u z$0?o}#zRTT|BzZDmWDEmKt)kN$RuCpmSfmMn*mo*i>PZ}a$b8-8c5#j*1ACSAU2jr zgrJ8qjjg131|q}nE1a>+Fg20t5#KO$lf!`mgrvw(j%8_~m`l*SylreG(jt}{ItmP9 zzl*O16dxSDz6hs$agj5rXAkv`?0VVU@Cn8Z9$B<*A`IoDB7BR7Haz!POp@=HP#*-`Wq z$Qe4qgyv9m}Q3WwNO z&Lu8OA_Kq(3;|A$JtB%0*2Q~<>{FOgP{)-ZOF)ycR5518b$SGMK0jguIIdp;c zv`lf)6dXWuVke3=`2(s8NVCrhCz_Dy0Hh+1(`010%P(ROAP0@2VzK1C?TJHjA2Ldc zY62}xghLdBrrYE>g4PiFN!3AzF^M1<+9UJr;09{lP_0-ZX6;x_6hGlJZ!nIN@aunu zt~#Kp{fqD3^%x7-#@HAzV&rJ0Mhk)Cy+NSBIAqf+bcV533Q=d-)TZtU)-&(>$> zPk;M&_ulW$_j}Gg_r&L%1Jnzb3hB+K?X!7*+;_v9g+0tLZaC&8Ylg=|_$d4tzET+3 zUQd4OzDo2C-!2M(%0P*SgOI?8a_S(Xge9aK9E%7V`1sPKm_9lVvp^%hK+)!5hNEM0M5JNeK~TQ2!cpy z*-Gl<#Q<~BNAqFc+mjP;@!mM_Vl;iA)OLYK^;>Ep9x8u`WW|O;U(cq(J+7!r$qj1gAkVriQ?_n zdo+Z*5o^%DITf77XdQe~#8}zsi7mWt*Ab3x{|LJ#Dv7-oe>`TN>q*fED2)2t_GiOK z&N~)dj6#pMoX#`59t5`2ZlUkkJxUua3SpJw`p`lAuRYZ;H^&XI`+Pl&1+mY%0!{Q@ z%&Bm0 zjhX}51Pt440eazmK%Cgq?O&`n_<+t4UGZ{EFXVY(j*%yTg&v)-H^A|xA3a!CeArSl zk=rEB_R{wxdao|N(5~yO&idq(4{-q^DSX`fgh9bzkpcA_^9^&00)n=VK2~{l4p+7) z;r+}?j}mc?pjw=Wy+c1G{A3&Cpu$9QC*7~&TgA5pQP_Fudju@+2fT+~ek z+Ti*z|CS>M806$r>YVmcH`urUC&1vyJJfsPWF*Fp4(CPG;{B0V8-@`+q4O4YIKBhk zqjK=Ej^l_XFF<(BO69QA6ZgCHqv$*04BS2OEZ>LXQt>}x9UL3`igmcQM~RGKn_+anbM=Vq`jv)P`&Y47~5K&em@jp%{s66ElI^sDBIU#XG|kkqbPw z0R5+j=7G4j_|}S3#nrPI5q8m1Y($k$mkbUcLcb zEEy9&iV2Pux$Aq@=gQo_qNCjNC8B_~mL8u6yf2iM;N6}SF$-W(=DGJe7?a{XMBo+C z(efwAXE8re0?7y31bc5GLi@PL9k{C0IbQGNAM~gZ!={8|-d+d4W ze#gJ3-f^m?9;G|dHo$V+uTe9AH_&{~rNBB#6;v%b>a$&P9!gudLlOh&1~S8!7AdMn z3k>t`B)Rz)clj1QOgLS&r~>cTG7}#5y0*3bHba8_1IY5=?Ld3ts-0X|y4vaYo4T-G4}8S;9#Zw-Ndu4gfhSbkNq0$K#EE+3tq zo&3$g7KVpa)t;J@Sl25-`c|gSB`g6{j=4B8i~|JLZbl9lR~Dw%O`UB|^{e|(IwQZ1 zTW_-?6&Lcc`)J`K_fGa^2c&&GVh}e7u<6M}KuVGhv4cSY5SRFVu4@+&j*>DG=5TYk zZzM$?kh(jx_mZlnh>SnsyA>mnXqTD_Z6)^eV%8)2`E2PB-^gDgm6Jf)P239v zyWy4nC6Gt3^Y0*(;bWu*QklR*G6E;yS56Cn<}+b{b5heZ8IfL3r_qDxGSr3J99>s0~i|JWm`+>VXIFCY+7Tf^#f|Yb#PoZrqn&fs9Ju7A{ z+D-bve#ky_xeVrrEAn8(_~GA0granki_$}IKuQHyV!SxTtZeY6G)I&wIxqd3I1{p8 znnW#tu!T<8d1!&-UCeZwjr92p3*&Q?M|U?-DtutyNb9EV0b0=;rGs#D#8SX4IgEY_ zT}vy6*4VcrmO6G(E=%o%fbR-^G8f73U>=i3xbJJ85tRh4;M!mWk{8m2GqHlTs4LLx z*mXjVtS>^zgBmcEYmFZb<%p2|;-(p;*teEx(EtaZ0 zjtXZvXE7gREsh^y<#BA+Q>eqjd0xH3^FkkbI)P-Jt#AoI2tXhmeH8np(O+ocY6$q) z%Wdh%@;E5xo$ZtG0Z%Mz5OdMy5Gqy1r7tBj$f#ZVp((7#--Ms}xvNqWP=>+;AISY7& zssjQ66E{n0)ZZo1(;!ns+_qwvoH3nogIZnf={(u>IVwm+x|COrsG%}3I>rXf0f@FwOFj|n3V04 zU4hPm7-Bk6PsNw>dVsf0FuT^Mn>8BgI`KK~FCcvtwcdxOme>jw@{=IP#XCb5$jN{M z5ZJRq?u0M%^+h|`E(0dH(k5I9#jQ&qFCf}AR@4rw+mHiy~mJ;X?#up7`hJ?gIJEuX3v7C<#o9i z+Ga${P@Ciqz*e~rZo6D9@3xcn?3E9@Z<8BorwJ3JKM@4ps-AqbC?G&u*b^*mhHmS+ z&aU*+2i=l5NG&yuSspc1P8hT@__NJV&mOuHo?sQerl11LFrZksb(H&)SIU=JED*jYZI?U7bb(vA$+YF-B{6Rz6wHSXRnTp`vj7%**ZaIISa8xkI$y*b zQQYRvkG*2ER|@g4C!_rn`vbYA5;uv^|8qDyciLiPsGJOqj*K;gjx@VuGk8m@v z2){*vMkpOkVmWoQ;y>t!9PNRD$~lkujULzd+wuR(bC7Jte+&+I1W3T-$rM~UbvN%D z=N;_1Sm)RZ+(XAN8h~H$T&vja2Vzff%cCU%4(f(t4Sp7w40#lL7wkc8pt6M<>A%w> z^VtlM;+^7OMHqa&yb!J+RK;i6A6Hz$ezu9tKh2$R-_46f&Vm^g3{nHFL5h?pfTi-d z+Iyrrxg1dmHSlVY-rFrdts|IWcf84iC8TvnWse{@7*|5IcVhp1(g z6I_YaizHjm`TOb2Ct;6f2|JYhXV(Kl9f$tZ)cN z9alU=S16STw6Zm7!u_nmU$&1MC|Lk!;~@yJc_!I8GMIb{rFZM)9SvQgbWpS@eU}t2+jG3){Wp=+@A1mk19+9b)9ExR4SQ<8In7}G0>m*9f*V6 z^-u&sPIhrW24e~oqyenubl3YPgZbOBjMss#U9x&yFX4M z;4$6{DB|{Ub|@`w3+>vKA(ABkgmXoisC<+E2hCO;lBpcieb^*_>%I?gAH-kqJfi&R z*@yUte_WZ>!C>4|aD{sAH@lZh?>Yyo_U5ky_i;DmAK|JU4v3zSevyvl7vi6}!&Fz5 znW|&pq|<(&NBK$4@bFkn^b$M2kNpAT%hN+}eA|Rf5lS&f$`Y>@ zuEDH_y31(kkER4bF;JsCA?zBqJHAfoX_dxg|8#jhFkM9Vco`=Qm$>=-E8Wmo4rg@Wm5cgQAML@km z^Xrv!qvQ%C_JMqJ$T+S>UJt$|?Gw*dge$TZjY=0&ujFNQ{Ic65A>ls4_TaKW8=GN( z;FYI(AU!2LV)Kfa>d}vx!aqdM!rfKbsu0Lb#!}Q--~nnWwmp0e{1Dw&MNy_SMo?aQ zmH;nBZ1`3;5tcwQ_6)lZ0_T8}LJ75-_!Y4ft_Qh`D4n)h1b%;JWg*s>Pw0x)N2)x=iv>>8)|i zwVjKpr#RO+4^-E2Emny+ro5t%VsFhMlEb2N@FF}`R;^klZ=dE2oL22pZco{x)c5>D zj#7P-)d5ctm6%xMZ@I1H4yp^rB7l;bX|LkAWW0hla zJYkS|URBrPXJ6NPAO4ct$GwTJlQyf`tzV`GP5z1};H}6!S*v;#LMUAWo`Ti;J!!c{ zI?g}U__oPQ9j#u#6lIjc`ZxsTG*-R3R%YQIveGt;IIi+n?N+9epC~wrS?cpKPMBt9 zL2Hb9mo?*a&306pL0u{%+G+^b6t86@^;_9H)W7mD?;7Pt*&?L}W|6u{<*jIey40*v z|MI+m%v2nVE2FGZ?{0IHLBK-zTZNC^UUj#ki)_TaLw}WCgZ)o<%r47YI?lmQ2cB zYP9mRsvP7F^;Vk*xDM=9eVxi!_DAwVxlcVybs>h|%9m7?@l{`y$?$Zq!?t?CIn`3= zL%FZIinv`ac2+4gg3aPGc0wTYjD+^c?%U8z3Kn**V$F3R@d{|6+~;=4MU@4CN` zZcw1rw#@}4Tao8wpUEiA2~NH$1~}z)#QIGbR`e7RF}t{zkx%3Jl68vbtk;nzxMp6n zf~jgi0CfBIEy@m-;GUCwR^VO%vKsm7le-X zci>YRB`^=b1#yLZ+WsoH9{D%YUDG1&0{6SzcS^*a;C^m?tU1ey63uN=`&i$Y|EW9GbqV!;59yR19sC6MU$+LzBlV54!x3HRH$W-> zzdSyEc}Ae@kL(9fq={WoF!dJPz1~60(vpJu zJydt`0DS^o;(kX|$+iH`ZQn8S(-_DyYPFz*{4{?b<2%w#oTa(qF+=hg6six%as)_K zsc<7|!si3pEa{cx2%c)(#U)ZVL>KZChiaFgaX`#>?_>N2eTvwuDe&R2>cJ|pqs(3W zsN5ObE9(-Dh?Bs>3mIYqR;xH3$#P-A8yvrqx+pmUM`W?mM6Y!)R6g_&(bCava#xuL zror=?CKMy{y$(z18PWtwcdKF1Lb|B47PKM$!L9Ln1B8-R@T$YD4Q|0F);M~PPp{&W z?H?RR`5y_Q{zHONJ;ZKy44XxY5m|HCQ&=*s88@!_n>V0(PLL>~w3!ae)E<~XZHCo$ z=VBXz-)SzR<{};-I=C#iAophi1%g2N4#QB&>E~?}6nFns%7cygus)|vN&)Nyx2#3V zouzFGJ4@2}O1a+%uiVzNreJj3T-6?@hTwg;Ztf1sI`Tcu_wc`2UvPX$q79ou;7z)P zx-EofIAkQ;m%meAP!XapE5f>*6*tirVcITbwov?4gOXhiz4q7Ia0rd0 zkWft#fe&~r$|;ufLJq2HioLZkeG?rPT_o5PzLS5$_QO;+_l;l%?=uddM%vC7TqXXa zjquQWjEXx25GT8q{`%{VB;dkw9`#^MpuAkhLFEhdFb5ou@L6#{xL=&aQ`%-|;F$Y! z4z&;E%}D19FG71AGGuVYNsk4ZwS1wcemaQ4ARy^xOWe2z(^aaS z#MY<;!ByB_vO>5W;=XVJejg}~e=DTv3gIaP4{Nc~Y1{oIM#2{5S?3&V1mlEo*a{Gd z*8MAeg&ecmEpdX|v@D!dlL(&T%+xvQzQh?Yxl{B_-tT=*x# z55Yyk7&a4fxaWLy>)aI%P-cTJ%ZlZA<(jRS?(-_f9(7mPh9uf9g(c}aB1`SlJP!gv zK%Dz~&l9>Tp`+|IZ!zkt-~%sSN5YuhQdo!J&xOa;6)rMC0M8V;n0hcmi};qhQ&$ha zt~00RF+&lCYx)Z2&U4jlKF7;XL&7~(h*+-LjMbf3H0BDJ>0gF~%hsKX$rJ?oCWaJJV*sptDkN94449G6 z);sA7RiCZ@u)$SCy5MOezo+6l9?@m9W?%sM5!6}dCuxzGHc1|CGf4o*rirL+;72RU zFbLb&`3+H@r-v6LWI84xsF{mnRsdHypYt~Gb`?4V3@}?!jk+R%fRoq!5?lngbz0!D z6fBMzb!|#}uDId82c8t@EA;n`fZp=RVbw<1K#xm(=|vC|?5vLo2K8{kZNM6kyKpcj z8O~)`+l2GdQBsWu@)qx^E(x3+z=KQmY58BUD*YSR{oI}EFlDnE0>!G=Su;Lp{! zV+X?Z%r^R6?k(l0jfH!aScs5ody_<}NMN_D(sw&<1b%6J+BE8E^)4AY$Q z!0?V~T3H1pe4p9 z3>HQu6W{3ii*##S(xdnttKj{@jHsqFT zF6yRWo~%Ne+k8k?Esqo(L}s^oixz@tY%4t{{39MA(#edndQc**LzG5XB*7~#%bq)) zLg+odt6jA})w|*Gs;!Qz^0P~icB&jOA;3F-2ZF@a+s4mJtVA8{ej za_j=%T6}5hQ^XJ0SnXfH4NOGZVd4FH?}kauPv9&5qRrNx#o%O^x8OjSEBXe;7r8a* zewaBTc<~=@D*37F)|C_5v#7H+^@gos4Ti)in^#I$spNj)OHU`T$xx57HMsj9!}#{- zz?)$csz&7X#sgs&VU32zdI4|@aK?$$GFrO41XC`)gM*ATr|~7WbcK$Lpa|;SEoR_4WnVp3_S)5 z{y3&%)(^eVK*gooFb!EP0@Y*ScJNMv?ULgdEHAzzm8^tagIyr+;i7;ehHYV^q&0?m z@P-wpo}IMbFkAkJVD=(1DAs0Qn_^D1cVkkNC;+|EXy{u$!^^t?!~0FS2Y&!xZ9uF4 zF~|&86Iu;vD_8Km8*1GKF-Pxi*#7v&LC_O&SkRkwT4sDSM5oV=^_uzG{gefqK(29t%DchA0VA3t$-_9q z=Hh}KXBr>&L@q@v;ix|`Q>>O-gJ)j!<;7==$1!V_lqvpJDXSnjUMA% zTdUutQmhyJwBIa$hZk6VbLvKS>X0TxEX*hdGHRKf!;-?YjQx5{*%FS}k5R%NoV*utu>@ z$`h?QN1|<14BjU{X+khxeFQ0$9JGCB2-IPGKI(%y&gg#_4FMu4UCvQ6g}<=#V}p!2 ziV)*F5ngveuTYuLPT&oCYwcaQC-}vvmH6p;MNRfr^c*3>y%*jIJ1MUl?{MNL{aJS*vyQ%jn4R=caanl1 zahkNOCmZd<&o@nwax>C%2d1S4{`9PeI$1qA7iEs}2u9!#sV$>a(OxpRjj4*A4%avh zUak7h`g8gT5NChck%W;bVroR{)XJ3BLSGLDFPyh>9~BSY64fFU%6ZDhrq>#%#Ge_U z$xkw&t3?@+PGTA+0zlc%#t#BlXY2q&w5D($%#h%ja*}B_C5V3+$=LRMZYH1#GQ2h| zP$g&&n|?O5c4B;H8X_nfuG$pAETc|Gu7+DpP2R`E6}+&E zOwqlazN`3Yh#S^lE=mc?IE92&>^6Wo)w;t(R!o}O6tiiMq1WsPp8g^y={qZ;!in0i z);bTF-pF$l$_|5LT5q@8FA!*ARyNfpBDV(v&d0dNwX@-m z{0oFyErqk1wMp8dagisWU%4&UMq8T}$IkBrnzbZ&t9CuJT?+^Bu^R=;&^Ud+b{aAa z%GJJ&6lilbO2i^{f=Z?xGTc(GfvUA#3az%UcPZDX6~KD6P>oXacP|x$OJ9pkMjug1 z&(ry`;uZeyQT1K^*~=jT*>*vKv_cNPWpjK7aG5_s%))%D{81U3ycyGKo8b2^=NIq@ zceZFlNl@9A=|o__za=9t_;p}=(6QicvuAd1sN@7r3(87d&fXYR8#oB(6cj;Mu($Zbtrat}%BSq$fbNi~$=b4)y+APw3ig}CpPv+jQc9>0qKFL`>$I?wV57eN7P6ZVfzp74!W=>yI{;0~Sw^ec0aZ9mt z)sxykRquQQwP%7C1|E|bpc^atg9FTU?D($s;?KR~iDv^J*PgAC)=?VLy;DLatd$|= zx$CN?2idV{GfU0Sl6M5|mSOys)cQc@m~kQ5$-9G_y2_x>z)jty81vNus6HCkto3B%T2W54#l1BVB#jmH4t3Ug)g81FDyIw5# zG!F>7RKe)VFn{e;X3jPrsCeF6;osaHNEDb4XD^#6s~+;bJ@;Lp+?+bEqZ;lfG(QN` zn%CFx%`Q2B1(Nor=;!QRb!VZ|=cUej!FDl4s#%&!xHh-0_dKcsI1?c8|JNKIl2MGS zyYBOc6q&D1RVEPpHkdu9rNVm^&&o1`w)lUY(mRW%EbKjIo|`fs)?$uQyQ}8sPgMA% z23R%*fkuj+)OcJYUpQ0(MV{{62M;LKtkQshzWeJru(73pKl1uFyxJk0mnm zBLCfpi)MREjsGe02G<>?Yv$VCNqq=3#ma%J;#R3qU#|IV6R5=y{xcs8dfB_eeAoAj z`Dv(BvDIdismw`RSYaY#zw(Etg_Z2eS=_xQ_d><@qK`TAyA;(kVJ$WK!1L8}t1ni+ z_3cZjuKZoSGw?^z?wl`~@a{+5r-PkBw0^AzrP>qJ9C~QM-jF>GX~SxJH5ic+~hrTH%LXSfQL02-g=w-bdf*uCE zv!oR73~sZ$v8=GfqgPon-Tt*$duW*2oFZmP{A)t;&FZYDmL#_v_SAWu7HzPrd2i^$ z+Jpi^--nF+#Eeix_Y40!MNxBC7hZGag%JGUp-alon|DJGo0+PM!Iy*mb4CK*1uyAp zwg{n1*tdSRx;;lH^20?`D8* z>TfpAytN?Dcwv^Tq@eU3G(U8OzjIYm(1Dhi(4 z0d?=X?Wg@T-7&GlN+JJc^z@z2`D2F*J|BdYPMM9Lj#C{B4XkJ{$5}n=GSgo3(_~Wl zxrDY*U!jGu&3w4>?Sf3odb3X|rqm}RF@>7A1|6OMzyg%74XlMe$obE761Zl*fE3rH zuz4pn`cG6rM#4_MR*#&DKMt%v=!~-MFPc9hxsJ7@wyQKzOjrOPo=l_zK+bs zjA%~C2Tcog3)FXL64gTCLiG?~gB4!`;4#o53f1Tz*I~%F;u(s;e+zmXcf);UMrDX` zrbUA6v;Uc&EPusFlUHJfRcpo3J_AyR{vxkZpFe%Ws(CSY47TWU9i=~8J|(hJzFhV+ zI@R=0#_Mxa1pp^(Z~)!1t#_N{JbEE!TlghqDf|%hxN6c=?(0hrRivYz>hi>9F zWdk_0;=k4sS*{)~N2z~nHv@nA)*?gU+;&#ZDBvbHnKkfoXrhYURC>e+JVokIEa&0pSu~_ zgG&y1DlxEcJ0vQfm6Zl}mflw+8qW6>g#g`$L)%HoKyH~)ovscR zNJxNrz<+k;wCVOG-;2IMZ$nwB7(e@(Zq9(Q2OL6$`*3x7S743Beqdj~ zIVCRRL;t3L?94wiUEB&v-uaHZ+N<5L6!bgv5$JsWUvMFHlV0K+j~26wo&Bvi*-x-q zhtWkN`YX8grm|^o!{o$C`F6uK%W>Xra(BvieVN|I^uBM6@dxl*k1)B`6P=>4sbo2^ zujiM$W(v}D&~QxcZd4dtAuQt((*kCqjJ@i(!3RCr5*73ecDZpL{5Y@JxYKvD&=B@p zHr3N*>JLLI&|)ZAa&J*KYVJTEwl}6JVi{`Iz*58PfdgUjz+@gl@lwkGRPn!!zr+1# zB?;G6?V5K$0io{n5jra$+(eb|Tk#iKJ)r|MdMzu|A8(21FVj$dj zbzs~WBwJ42#%LVq9jF*UPYH!uOY``4PQc(2+oy=j&`Zuo2GY8^`6vY4?x0uQz##(^ zxea_}rSN_Jy)fM1LL)gOi%T3-3>-B04yH3(tdWw9Mo*)E%48T?{dHi$ss~N4nolY~ zAZ)70{?v@c1D}nh#tlY+)!Uzd{9~Py*J7coCD!o?`+de!x!g9vEj2 zye|lhI5R*PErtZl zdD@~*p4W6|7O=?OWy$rh`Br{^My@byVh#-cRklsl%se2d9b^J`tvrUba#xkw=DIym z;?XWZgLEQViai=#Zp-u@T<;P;|i9VOleIY;d+_6ZT%@HRI&qPTvL08PR5sUjno&2GQD9{8+L}|VRU}$gQf@Zn8@U8r-Dpw${Pd#=Q8cy=BItfjEH^XtZMd`8us+5AF}=w|)s#G8m7TEh2eF0%Y07 z{Bgmofi%LTYbkibM5Dy5SOB+pF@1LBX*9bBQ$_CRTRK~6k+B7tZ{mKD8mJa$NY zLEodPH3gIZgTEw9$^xTyaPCmmgP!)&4ZcH>k#i-Fv8T{MSRX;aV5hdn=b=gHp2nO$ za9&V^(@6@3vQgYf|Dj;=6}?cmc2MT|b?~S1XXDZ#Z{_Jh0fNSj7!ovHBI1UAc?JO3 z!K+~Y&>E_QKa6hjhN4n>YD#`1g18PXvk5+7e;H4Z%201u$sz_r=6(sA7rjF?7s?wL zQ?#I;HE2*3C`!l;`dwG$&?3x9d!BES^95BQV*L&XM+JzW5kEOs2c^Y{M)E>AM z8EaoYR4UXK%G_ygA}c-;;s0EP27=vDy_MixuX#h6aH36hteQ}uk2Q6GNcVWA%{%8Y~eayBtWdF%X?Pc{IhX$%tz@Jn^MOH zo=*;Rzh74jk-EdL3G~F8DaFI(^gaAz;jx8V zTt1*DhS>P3VK`9Q+l3iX*ABlLTF-PyU=8~d?Fg+xv8;U9QJ)UTW!=Mq;chSvb+;$V zdL>7*UnU&3t|n0oe?TfFMe36jPwaT>31gnYx0gSBi~`kW4xoK<(Xm4|+QZOl?{st~ z#2c=N5sP;S@!H(sS;HW9E50@?fCg>aW<#HLC~u^4y_!AX2Phd+gx@@nK)c|c&ot%# zl)vn67T9xbZKm*xc}q&V$uI1W>X)cb4!;A=dh8kwmDwr0YSv)Lx)Kf9ZZ&bA&nrzk zRn*Zid=VO=eWkhKHwZk@JRVjmm&31%jxi}I&|yxH5aqzCLFW=I$bMnD{1_!fJ4ZTi z@PzT>@FUJ9;)KuEzSHJk!x4%uHLU#}KwG(m`yD&0`~|up9fE*HAhE7uA!@f^qZN}} z4#kczfZQ~DLK)9&no2I?l|w_3k@0`iZs?G{f1#Jlk-q<;{_6V>_q?3};Dx5KriJY*c_of3pW#h;KRXn8{j(`kvE1T;Mur-Ly~5~$5vHEcwrx4+GJR59wKFo*bROo zbisBEUNIfUH@kz{yTgAeD7Ks72RSDZW4bx^x{>vEH-N2#q`288u40$|gNz6CYu1gh zqqo9<=s6?L!4&VjVX4VM;Hfy*s7R6z5rF0JP^4eY4e@gLDCWcnTQ3_KWnH1mi8JE2 z#dV=!?a=nZk+ilslGh7ocHV6<#zS7+A=Wvn8 zr^G74$Vkq}!8n?#fkGHrs7fVky@p zA9^S1t+1va(O;~NSv0|MQXL0NOwqz@=?C>PW304N28-sJQk{~+ziLrF#IPSgduOYy z8}J?eEWeB95FTiH+q!^wJaXgGwzP#kFUjwKsE%tDHk2Xy64(S#iNZjkh)x`~GJE(g zK0LNwLzka{0dfUlQt=wqkGc;=c1RWd8>matrr0hFf3`?`1NR1|-%LFP1kStAs*^{g8m%U?X2N{v}@D1Jhn z2X0{hi`~GUL^}ze2>#8#)J%1t0t?;VGWL(gpax(+b;wpH!+qTyug%EG=x?GP@kep% z=z5&L;D%6>ouyx%o#p7Vvx>_Vo`kc zw}(ozZVm6#>`KZd@P-NUFGCfYT%-@+twW{@Ja@yVg2%}@$f(g}1HSZ+b6ZET{VY0H z&xW``KF)ooLXMSym3BGE3qtLvfgDRijp>{yU!3>-UdvmrbO^^#%W zw81)HuTf0=VN57)IZv3z%tvBv<&Q=_aRbIEW!&LAL;o9%9YbOR$9xqydED6Rp@cEc z7^wX{+R|idi5`oz@;YKHc`QfP4!g1N1#t~u3T^SAgJ*?L9qxFv!UD%aN5hHUW5Kk! zV|Em16MSq)y9V5l9InCkw>k}UZqP2AxuKkTod9rhg0HZ*&@-PRR!ke49P1f6OnpUba`;A%&@NE~>OYM%d2f>RRb8?vw5?D_ zN2*W=kF&~`5~JGyB2|sWiQ>u}QZ*+`>6$VrWo$DLAm!uF3}HOCBy7)XY7sNXW9s1} zro}M|?uc~C;5X$nX@*{e?HAH8|52a8lvfEUS^OU27SS(Y zIn{Rz-J|XFpC`1KxKkGd%Sk&t?%GqGU7Iu5dF}Gxy$mKC;CY`cGGst`+D|9$V zr($=LPqF-!HB=03veg+_MIM%{>~Tfjgk1)Q(Hhj4sD5=g*50WFYAcvw+9z(pMqyi5 zml1vE zy*>-=82Y97ztvT#@WP$S!>hyfy9dGq=jgK(^M^=qsm)A5xL&7QySiMLH+2J*p`X8e z?$kU%3;eY02I`L0*6g|Hn}*)*W?d7Md4g5S2Ff>uZO^F0cjOk#P_;z09lv>XI7Wou zi765gW3wP}oGQAq83wvRe%74S9>ho4opzL(e&aTcPK=2`8{2(8QP^Ycb~HEU)*jRF ze6a?dgZ!I81$R2t(Gmcg_I~%3sliOdcrcVrX+hU9$G~6lKe2;MyYX#Yvt5}_uH0RG zlrnE|6jn}r4ZK?|#m3t29bN{F`w! zG+XOEo?sH1F59mJ$`KhjkMYdj`=Wn2pJo&(2Pp!=G{!%KEp`>-r-eZse8MB^j@-o; zh02c2V7iwh!i;;rk_Y`56dA*;u|Ms0>sk_qh05l&n^ z>j{{JE*rn-v=X3#)P5(uK7KViPP-nu)~Fl*4H(94B!uyMTJv~KaeVN~(xZvreM5tz zf=c3+p=2ou1;<>zSUuFE37CPcf!&srps|pKkmQn6bz1{@)9u(@MKyl=#tjy}C0be& z6bSiQy*qF`xQCrRi#mN@1=b9&wwW6`-M{QlAbR@A1V8B8M4uoc@IBE#_j&SP!N(Jm zI=4;>VS8Hg3$bbc>osQDeg;X@yiO$D_8ba`#&rSWS_FGb$a~Gg0n%fot~DUN($RlG*EO7 z@h0S1uqp@wGx{&-{#s}U8=1ZsqA#rodOIy_{1V_+hcb^L>}DPbbgixI-kpQCG=^*~ zcoRaK30?EJ_kjmLR`bex$%&AcP-j{oq`L1{Sr7ZVYaR?>-zZt( zAD{RneZ5~gqIJ#2fREJ^fi)rTQK=;-6Ykb_CwHw0hPh8ahmfp!6?~&)z#rVlKG0-KK>dl;u+}cMUfb)>F&tZFX98yZJs?KfGL=FWCSt(qqTx zH#p?BhMb-Ine#%fBD2Q>d0dBA`D@0j$JUKsXv$$Cv3BFs@!xjDao@EOc^~t01UX2= z+GsItZQbZb2E#)(4W`%kxVulaanZUct0NS=aoI;yOEeL&pLB~624tb|_UjQ5v=25I zYy8R)K5K0j%795j-Rj{KaqM;+!v+}sESNb`wf2P*P3H5avfSiO#+(Y5@B)dKj3q1^r!cp==Nb7?7tZ6gGSzKLDx$qY>6p0pVp zU!-kzLn(iOWF!W=U>vkoM?c3rt6a49nz94A5w%Ek(IHgYQ?VeCmb5QG8~jPq1syWS zq-qiNh_S9dAm8ceDlf?gROjj<+)&9Zp!t;Hz=X(tNpP}=P zOXC0IILBV%Ku}TKJ55VV%~fe;*`At}4I5^ql{?E)0YMaHi5kO!u|z-xanHo|-h1!) zYk#XB|M1{(cO1vvare3R`}KOh>N5&L+8|yTMJymj7y7;YiyIJeUObLwB~a^FN9W1r zi{3`Wi^kF2e3E2q-Se78{w8>I{ac(X-kLeT&a;liU(t$^=>3af=7O1+&E4k?#jk*M zM2(?<@^tt{Ho>t5Eb7wMb#iN{11oCyJzyO!ZA28%aKPJ{1|cll`yx_Kfxj}t&Ch^5F1A%FJ?KNS={tegdNAl;L=Oza zjVQXlCo}Nbn&uEb&xLhbM36t@o8S)A*_dp(pZr1VCedU5MS6@pBI(EhU1+4({5!=H ze8E9ypiW*{{XX2d0OO4nIk_cql#WQsCeH*uf@CNc4FWC0zk!U{K~+A zc{}PZiP-Y#1yWfVRv<55yja%AS0Uolycv~9yXp+_^}0#fX^~{>xLkl*%os0o1?aQ4 zVF?nsJS*j2!(aYc^z}Nq-1pEG&sEV(c@_M$d;)z|E|zZ=?SKr)Eovck36@?NsNbv%W&ETG7Zo z=(ieFB453M0Z9h-L2N3ED&|?lQdZla^TsHKg%%1|>AY##fwR}!%y8$0vlrGa^S&jX zR<@q{57oxifE`5+OdNAF^Q)X>0Y&)V zE^osv+ua-N7x*`LX=5r#Uvronjk>zMaEEo!5X^(lSr1XP(d`t^R;+|H0fE6S8+k~whi;vF~y#!7D$d%OL1S}WMh z2dwxBHhI4C{)JXMjpe_qt){Lo-y>+Grk3L@P~5p*Rdl9jo$N0=8otPE<0!1iptbtQ z>S8I}YRk$GmT$|CE5DZGTE26;5DYn_#)RDOi*logt)s1Ta`6>nq+f#kDxuS&Qc16A z6IImxwGmR>Du)%G3~T0eMY>CplCF#cCxK=nw|b+(tyTO1vExq`-ZpuF_xQbcnP zNqKfsWrX+sve@b20mJi`ChUP!Y|jWhZe3SV4UVn0RC-b4E2B!VN-8{g=V|WmltlcD zt)k%VLDrC{lKut1_B29p4JY=n1Jnr|`%LjfZiTX_GL`Iu-$DM3hyld{hjMD5gXzuY zsTAKWE=OUrL4TCq;U5u$=~aHj67pWlSyv#%yGG~NuXq$>d%Sq&$Vw`#H{P$LV(0&q zYe2W0P@uog(YEm$76Fb}dY}>KSmLB&QXVOvDbY)sc&E6x5U&DHOq+<HS5p`Y%x20Q5OV2t zyI$6QbRQYX9>QILdwMpu-8mWpw#3YrwJ4LpEfgB~uMLjaLb-`6uI`~Mp*P#{%hQm{ zR4>c#yBnl)Yzu8?l;;66_teC+OTR1A*;-ZwHjNtMZg@$X*#KDks+AdI9AY&k9>o0F@jSf!MV+q;L!DT|B@1K`z?tr}zzy z0sj&IggG1iIKv2NX~hPAKz%Mp@Ec**mDYGK1A7We@by&^@ZN0 zGO8kZ0X4U&6-%<}>y_QnB5)AVk2|6|6F*O76y!8rkbTVmQ66KnM|M+GQoF@zLq#_0 zhU%Fu+>cpsKqV0r$$eV-$p4{(7*^%g;HRo)^RuRws8c~|AWi?v0AmH*Nyq=V_rhDH zEv{!(Z&X#bHbf5>ipqrirTA8M+G#U&R^fo%veq&cONsEnF&r2f%#)(&b^a}z%B+;& zGN|+Mx&78@ZiB!{Muf|hm4tOO1|(f72T{5ZYD=%WPN}!!-H^L@CL+RNh4nK}WK1aV z#kv6Ue$O)KTYR8zDy-kj5`1P^Qs!OA$rzSq!{P_8B+KuL+}Kt0_IUIEy7k6u(=Q z7Zf`a@6>$pI>ufgOl#lHO!Km?nX2UPwBdU8?A4A+A zm=bjG$R73d=-qQFy&(h@t>II{8OZ*$6OgLaJCdUlM}vT4OLx-NhOBwNBs|c+VPeNn z>h;p=s7sj%P{a&g(C3hdB}2i9rFpyd?sNrZg5Yud84b%ce*ZR8*WQ8*wABP$-DU4# z$h)06Z`IZfgyZOw3wEa-ySh3Vx+laY@ZZXu^nf(WX<5O^$DRd90;7Vof!Tqr0kmN6 zb<~w7QsYAmZP!Y5?U2%cn`5Tka2*J0SoRF?1sz)8lQ?(%&A_AUKH(0x@7dkn_)pf5 zeg>kdy2Hp=cy!?l$8*@@fggi4+tZKHHrEB30;tgJ8A8YIRTEIcQpfpJ=&Z~a?bF)( zLS9r}aoje;%+)=XwH~V&4KUT7u+KS>zWVh>NN`=?*Bwc))ns`xb5>@1PyLD73&(Lw zY&Sj%*mpc}huH&Dnk-yXOQW4_9HZ_OqFXFl5W-EBi9&MgDg5rrKk&cY4dph%CvL*Z znJ_P5W5wJmN1@DOlXDm(-nZQz(X<8opLKvR2;nIV5(*uCg`+fop%Z12_CjK=N(uep z#|3W8qlovp518(5&+RK;%;FZ3wZ0pZLI^#UQ<7hm!cf9P7TebO%1aAGwS~}6^53j4 z@?#+v0y9Ha%IB7aiVup~sGq}#vmT3`UU)uHdi4&eq-kJ??4ALT%Gn{xnp zMSWepyzX+&3dpf2H`{^G5}UI~_l{f8)1pg>Byq6R0`qpui9B}HfPAS1Y!lEC&z)sU z4`X=mwQ8vhEt@TW!%VO9iA^fL3Fe3~UJl_iF?Fgs>w9V$vP-L3Yji>?OD z?z~a8yZCTfBi~?oG`fPs*;H-rt+x;t%k|<$`H~Kyyo0e+Ue5OFfQX$soFsJ}Kh<~C zW13oR7oNi_d?M&+FkA+e5UMw^#5^YOC6|tlo!pk$%fjCo)@Us z@RmMso-k{(yj}%W6p9MLljS+~?UGE#^On%+2;ez;xZ|rG3WeJEO>MGeD|f52xoP69 z;=5jF%17M4s%J6!a5p-(i4Tk19DkPI?s&jn&Mu0tRL>yPG`TVDOYgb*mJRU@NhhUW z?KZaiD}+kdB{+-M6-4NeQr=Wx<{&eb3Jqpk@k{|8cdjRrwO- zkYagRo~#g`!Bj0M^}5`lwTS`}FfXh+6*84K)w08n=BZ@5S39dLR{U7o{?)8c`akg0#aFOQF zGF#Fjyo{(+t!A|3mJ}FWma^v;acu)(EAf#$j?8TZ54ts`_#nSL0TaN~cQ}g<`SquC zcC2AH(LLF{t*_LH_PW@`_Ukm_T368R8K%P7{3b#0(XYr4mjC1ZWNpYf3f=CcjyvU$ zg`6rJCk`qn5NoNKh(Tp_@}N?+;H}iT$QFIecDvIq%^doFzJ>NL*&nJ%&BvI>p!u4E z?wyr@bdTn);~7gN|FM~j`^G{U;heO%cyNy;DIIc>pwu&k(6|dgRZ5fX>p3$>~J_Hw!on+Uvzl; zQShl&3E1qmM9~LJfU}!GU=~-0fG#7-4qR^RWC|MQ9fGWF ztP~>OwLZ-au#1cBW^T#VAr9O5C|}w0G-&Nf)hw$<=kVsIL{)vA-4hAaJ^^?X5Hr zMs~^9qi$*3;R70#qQRou`-RV3-xS6<@IkE*+RC;e>52OtmeK0{&RE{flL2>BzDirz zLb?UyvwIsd1ow6E7m+U`MPZ8$W|W2_0)C($C9_az^a;cT)jDOEn8kEsZ`)K z{TADm7whvP#e?y&WiRaFHb31@-hG;Z{aW)9e8k~@QTV2>^w-&KO^3@A(Wl^bVQ=YO zu)VfiRyf#Aw~ep_mG1or*bV(7vtTanxXlyDa2CCY}8?xV|WtsW3 z^GRQs52S09eSQz*-gKg7h3Z6W0x7AWuw-4UoMNvTt1h=Zq+4a(Wy{dDL;t5WaV6rJ zxw9D0F{{x;9!wX~RAZZ(OQ*%jSNVvUzpL`qRTizflu83rt1I_UP;6ISgrp&n)&EO= zYYW@7rD_G!y>*L2moAA@ud@P-x<4ED>c)>FH6q3Nq8&At%5agVEmnd4H)Ef`Ok_R>bZ0!mG_6ve?sP4s`bg{oP_p2c?t}a! zI1ikL+ys`3Dxp?UJIX&*)xjfcpinrpS&441sku z4?`krF7s~fv}V0TokPDz1)vsmotlRZZ`0pWl)*nf!Uz{9GHXftlm^eln zcU$|9&cz+R(XX}Dy0yw!*-uWbY&jp?)Wm5Mjw0mpoieq2gXW`^L+3F~GwYRniRE>~ z7Rk~oxb8dsvZK8Ai;fA)Zchd;lU4Yfm*q(8yQp^mRobwIEzhewx-L};mCrleyYyxs z+jZHnys@@TIAv!hX=BqN9}#|_gwnse>H_!c27@~h(M^Sk6##G~z2;(BlB1+F%QL{H zrZTnjKCsn8pKF)@LFL=>7pKD&C^5PO>*GMUYN~@*5z?hpsL08kJCWYaOF$`I&yZWn zvFgySK*VJojvvC_=yq&YRusJTwal;LA2fh5uR2!ph*u~`wNTmWl}pR`J77C6jB<#gdISS_mf|hVtDs3Vn5aH-(uihs5 zLf_MQzUEs~yzNS+oA5n&Usp8T#msBT5@Ok=E=cqg?RUdj72j-j4vC#v4pzMQJB*ZVb?10)FWdtC$}eYUNIbHC@v!B2OZNk~RqSKlk$e(38M4(%z)2l6LzI zEr_IJRW&7?>PRXRUI_n|Nb4j=gaIn+YdgT;?=9F0AFIhD?z4B=pRA2zJ#A6cYJg_g z?CyimxI(@K57_S!vmvdUCyeaOLU;kA0Hp4$gJ0FpY6aP?HqNXz-L7QOVpOgzmmQXM z`!dnsJ>jhltE#6_*Qo$!r)sevIck?10yx%b>H@kmv98s#yYHbQ3VwH;N8;es$c(ON z$IZtucY zxT>+jVw>0GcxGdFBFv-Pt~Ax6-x}E-q7H1@!=FPunV;{r#vT%t&3Xmbi28uRXre+S zX>!+9qPyI?Gnox)v>zNnpFO9PW^L>?bU*Eu2nM@3@YSS0e(^Q_K5?!r^asJY#yf&n z){WsWt(sc5Xd($st+|e+R*!K>=%wf;;kQkj(TeI3zwz!=w?|g@yHCPCHwCI~ zs+`0?mhFbe%~K7Jq`%nP{3yIV`~xI5X&kJhDx`6ee~uSvJ?x@Gxm_=-?mF)Vzkogv zHCFc~+0+&`KWTn$?;*Wt)&@Okn#B|-s%Njr59Pg_AW-h3iqc4S{C~dz#H}ds@B(95NX`Q z$_)5x%U ze~&zym`LAUt%}JZv1-L4a*GzYM;2)H&e5LxBkid_%4zhh6q?;U$K}XZu2{$(#2v~A z{FQ4iM5(_?Svm8m>NMxGW|`#3j8~RlxCa}i^{4Q(|NbaYdW82CNSlG;! zEerpHJe#jUd~C3pF#}$Q+X_hLH8g~-G0k7O@hTV(WZ1Jknz2{(to%S^I=;>kma>mN zM4zIQ?D!Vn5f9RS)P{kt=tJOmGq>7GfM{K2rfw6!maex+ZS)JkacUfDmE$Ls#O0P9 zMo0mwtzNng>E~7~_11vHwUOOP09W6NdM}|h;elMpW>%llR9UGx=V7_1i8~tGUj5YE zaiUwTwB1zpKU|WAY_M@PdG>lJqx=!;aCg0w>q#|p>y^rvRhJ#7Jb%E?=$;TrU43Do zocG`y!W7myr>(ij_Mq&m)BNtJ9FyBE+In;6I-!iT>_4hpPwKn~;p&%wv@R`CZxFkH z5ZoqkpXNqa6}Ik3oA$6_uKO%thf1jrQq477v1XVxQ+a5unGt5)p6F%vgi{jONY@U1 z9($f)kyW)p;9X?6LGiYddNQ08#TZXgT^<_?(Yg#lFowG|cbdHocPL6jBCOr;#mnDv z8N%5R%8ddR9WgkqfNU47)yo1s4QAf9(AAKme;@YRafDt-I-ra+h+@2fF@ri(3Hiof zn9os8hgce%s!S;)$ zS&(5gUzcF<=@60B&mRS@z#9xLhROovdZ(liSVqOdO6Tgs`eIgqYZ3D(Y8d?xIZ!J? z6-Z6KN2(_2V-hm_2U;OJLpK?=Rh~p&H7tv};B<4FBe2PS++bu}){hwe(-K|pww^IO z_jv7eyLH4iNc(wqv6+#Cj!MRT>sUa&x`qeUx}+P#Ijb4U@{v4<_A~vW;{n;VWW7VJ z;dAUP>^;;Kdc22AkE@{5ungqhV=!n9+h|g)O@JV5t>prT_u$z*Q~3EkkEDFMHRfK# z%9(_oc|8{lSt)ZFw$3xW0&B0Eowg-p9(dlgo6DC4exjc_P(kBfzUb*y*OC2h9R8oK$I43yV z>ayBGYvu&?fU2jOtb2k(j`V~>o+3Qaj{ja{Q&Z#WLTGPE}Rl9~A+hV`HdM3ez-xM0}F5Hn7sl$TxC ztVew*8=@%n=O_kB1YB}>30+_H9kILs-ah8VZh4c!fLB#CHETIv z+|N_T^;yOg<3{QUZ};dBkL#QrTHDI&Jx^(He4Ew<7}uXEdn2{6G*L*nN0{QK02a`r zgk17_)U%s@)Hp-C({Y>ja!&zrH*p1KlTD=Ydnp!qi{sUL8+nejtvW^3tHJ#;JpIjWb}?^bE^v>_)9z+Z=@?Z*h`_&eu;c9jM!kFQA{A@8ZK4 z!^wEYQ&j=|tPu>}V%*;XVV1a!7|$8=Gn=&w=($FPq0w7R`?fflag9D`jNwmu^mHf; zS;n)(t#phL%2{+oVWeoYj8Bcds`%D`+G{p99f-=83HoNQ$T<#fUhj+}Sc9hvK2JMf zEcDvQcxdFe-U5yo|2p6}4jMW!i1v*3A2;3pyF;@>D#&2eId~>jL3ur!tQbaCia<*@ zsPMg7l1s1ldugNL5tC~)Xw4P6X6KZi)}2N(E0co)_82edwW<(Srz*}&&blhSQ~9Oh zcXF%jq)Qogdd(I0O=Sq12S#VS0Hs{tg~t&KfLT^2%+(lO&4CVz>MQ1@_;LFvvQpoz zxB;G9yAXH}`JdvHeY(-HMhK<0-nA_1;gt>w9(Uj87MR~{1wJqASC!&RJUQD5u zZF=Vz`#r?pr^;yUeU6QimiooRxtNtCIM~c%qsQ>)$q$7;vpv-@7ycio!t**b&b`fH z$b+;@i2foacoy~&7-^l$y(xe-=CwDXs1GO7FQ)H}c5n({+>br9U5;JUt8-}Q+cdXB z&tj*6I_XB1tmLI#1Gv8TL)U3@P1Liu#;w#VF52dpkMFP~%!uO5PD$6!12>Xyso(?$ zY9lcdT$nF4uG=$T-euLSe_FoAh_Jm+Syg_oM{j)4qd^SDPU%m#grS|_8K`v;;WFox zEgE;lT5vJ_vpczWx{;2)N6dF?seTrs;BnY#=7HW9(Y5$DXq)^U+9H*^o4*pr=m^{D zd`(c$;;)?V@`)UvrkMY~H2s((0Xw5>x8EB^_K60?$+?PRkQrCytW7ued3_`{_yjO! z$`fs-ny8MQUOnEk3ampLaEfAIv=FUZheiD$Kh#9{xb<=DE(dR9_;+=iyX7)%vF>B< z%D`9h5s!Rp{i6TTZ%Ly1oO?g?W~e{99#c-Yos^k8;`*4jO=Sz}>{I7D zTJ&pA`&3pJ1r^&g#0?s(sv-OPyb?4>{&u3xf;Dphen`tq_MIh8tpLBy%qtPa)z#s& zVoghnLaDIaPM=ey;CL)3x5Cvgr=_>&nlYqIjInDsf783zAM};ndr&jj_{Z$88iWu+W7!g z3{!efGYty!AQoktN-vG43^@gV6a;uK~N&9yuq zR|~!ABoU-_%WD?Fe8|4sjMh0#yIZQLAt@g8D_t+Gy4x07eXMK<9EkVpJmR*sEu}KA z56SW)3_BIOe@8vWz2vy>CxA!#ju;luk^ocRBk2+QN$8$MTWiUxZpk{xyGmoL^M;ME zYj~U1{Gy93X2q?;C!fTnPmXX4*T7}XIm8?d++qrC1?)o4>D<{K)%kYS55R-}4DJ)U z#HJY7>O0%|W#7TJ|6-Jo4G?RB0+xXI)b}2K$0i2xwl5V+!W7#X0KnPW-lZ;A7R4j- zFBHZ2-G+sszmje-BXDn`-2A3TTR_xtH(-046yDSqPQ48?;fo2k zVd%O$eQvTmyR52DxkD-Ril}{o5UUN41pyyNiNz~l>V{DSgJ3B7d#hp(r zZ=?4g@~mjaV|_x?``OlI5ql*cBs02~TI947`$J%@<`fxRYnFaz7ua!Yy+=43IN3kUn5v1d z{F6Kl+2>2wleVk_^?Q+`a;7soAD1o4$IW9V6}wOCH>>gSHrx&LtrTCEY}lBGm6Qz+ z&?W);Kz{Eu>`|zeT36HJoa&Z|ZR9#r>$F=b*+VTDRAw`%?f^lxZ~ z>I40nosz|ZxvzmSO01f%t+8z@E|LppS7F) zxdSH)U>!-Eoc=N^r-7n=YQOuyoP7Z{Py4MrYVavm7Yn~MPapUJJjP*w*|mG|^Xk_O zY+>8fx={K3v#nyAR4z{E9Ok=k-#hP4VEXtg#*@u z4S}SAJE<)5Rvhk6?k#{2?c&M7wBvIhdqvdrz)*vw@IM1TtnNFsV?P$GMFbSyLUj$C zjSh~{&s?@w&qBE+v%33hSf86c2JSR&Ex*wx2y%z*q0E6*W7@&Fu${u%IDmc~91T>) zj&#IVUg)0}MW-|=gL-GNM7peqPBz*7K89lsExgQLKrSr14nA&J2e-ser(|_jqgO** zRUEqv;*FLPPyDPFXlZ?)*I!DkO|6U)bfBZs2@c)t{F=NehZ-A9Ivnn!spqaZ`lE~r zm9QdxoBLGS6?5n+Y74LMGE!kqbRqlW>9gp4WRW7vt*$V*a#L@v-ymQKKkBxuuMbBq zd8c>|D6|(2-7%-eFwKmhX|NOOlaLLZcI6jLbyK;$VfPtK+CjF!uWLGdaWNLsLu$bN zikhn(7;J!QEFYn^4l)??i`?`aWv|cH!M}6#dM-ys2&HxMi)C%2cmpcRATzuPD z-O;cw^sm%4!lQlp=r3zx1Ttt!+3sF?*Q4ed<}AHU&_w5U!DMF(Tncv}x?5^g3z<}A zpye`S6!}W$4#^tkbe>w~R6GMUpt@sQ$pmokTKDNsaH^TBnKnc2xvw@{RT#N#@EZ!8 zc9slku}1llblB_|&W6lAN^Ux3><=4fFEuZ@U56T)#shV66+%fgq?t9z*jhHtaj<6!nPA9!`rGmnuvU7yDODpkzH6Jv?RwSRrOd7c4TGjw1m)$LYAqn*+_tmQnviRN$ar0nH= z(Y_co_75b)4}v&FLQXuDn8U_@V#DyDC7pL~eH znuzeRzR~^CD!zB0^_L0^dRgDZpmgwp?E28{A^A`V4xrz&0|;nywsEx}cgW3Q3J=it z_;nfMDK8Hd%ZH>vLkS27B$*{qoyd7@lemFoY`6Tay))$BH8Ql0I=AX6i`G`Z6@4mq?j#_H-~-=Enxhm`*+z7pNfj_Jxc$K$)W6*1eq)Tl39~SJi$J* z?W(O|e<_!o--%agv-T~h#$a0yW%yITwWwLxElaln+lk^u|5@G8Jp?b!6T6?%QxA@* zH;Y1))Ve*!d$5azOUyjaPy4uep>BHCYgJzDKU-;> z)_a=sW>^1@6iEQ6cWX9Eue)u_i)@GEGr$g>G3^N+32YuC4B09_U)3fj%Qtpx7TIX; z%R8dhqe9((sqZ*^L-xYcI;6$Ndcu7!BCfy-P=^3FMK^u_aB8Se$7-x>(Ma!6jUs8N zJlU)!S;^Wi-(M%?9~kITZ|#Wc=mEfpMt&8MWck!>Vmc?xx%)|7shMo*v47R@(GCmV zinSGw1b~F{)z9t|mfSd(ptwl3z2Cz5cnc_|7ttCs{EQLdk zN$w?31Nb}O-CAG~eVFYxc3MY{Bv}0NtcUFh|IekC+JL*Ixdnd|gJ(yR zuW`64Puect)RA4p9a?6{p>ny^9&JA{)#EXdbMzD-_NAv}v`>fZ9jOM-!Ad0*tJxH! z%~yvv#^~N;zca+I4hg-9?&k*lJ@bq^hZ4$vdck6Bqa@?-IU<^yfW zDn_-imp-zu4hdPMokslye5>8gTRLRra7~4TT+x5C;s|V+f4J?48}u25C*!9^Yik%-ZPQr2i#v>vpVP+_SPi$GE?d z0)%={s*7|H9y^9T31uFKJVwn5 z?6l6Pf&l#}+CQwqL!;uELrZOyr{Nz99fT6!YZ6a}JN~ilyY7aelM)nXsPCiZOD_Zq zqh%kZQiG{YwWG*)&ObF6>pOLq+<$2!oALVjxQipm5mkM)-Mg?Ip&Ljw&??++Vy4+| zZ>8Ne;#IZH>9Bmf>=%5B*=rFZij+O(cmVBgd)cF<=5CgKH8(fshSNo7Z+w8P)MLld z2k^y?Ic}RRL!==o?6!^m{iSo8BN#tNur+!b3w}pwvRT82)po!A@~e=+lFXQ&x;7a6TII`cnM@ zWUKpeZGFsJ%|*?Roi0#rI~F2Vw7x(tCI5AN(EmiTKz)J}Jv3h{VED)~eU{V*>bG;> zr2Nyc;15Z=?C;hL+vYe#^c)#2_n+zY+cAAKiMMOioas2)#LFDrZJ#~bJ4EqMTw2Nq zaKB^!Mu22YA37`eXp9lCMz1dR9w`NXw@w?(tL-N?^6nAmg64vR1QBmOD0HOKxd3!T z^+=Npe+551{Gzp@Bpx&|s^vWxK4c~V|8Z>Ots|!bTgcztcayWp))skH>&Q@B3b~R@ z_2ZI-WW?N7vgB|v;G+s3wecC_Ty7dL42505?1=qNswg^IvN})KN$Oo><&FB%8tkc( zFZ5b$C?DA9{v|LEtj6|Q(Rz%Cb%1SKJh07bB?6hh-s&s;`N$oohnUjvw9YDQGhuP_ zC#}`kD*hN|X8-c2d2L+#TretNZ*;KR+Bg{5Z|=Ydzk&k5$0X)Y4)xhs&kpKD$4KM}wu1@v*DcYlr4wXM)Vy=pF-bqq}G1 zZ<)0AJ-C0Q+W3A5(|Lac;mHBBJyv;8*>Eq36%<+tJQP(ndyGvvj1N$U9_9swI~#w- zAoXS$UI{Xps`&|cyVY5JT6Ofs)MI=*r6P?&pZ_V z3#s>-&o}-PIl>k*#JDFTbH|q8%2`39LdWC7;PC{DC9EWhQ*6~pXOmGr-|vSiZ}=XN z8)XZ;^6u08a~-HYdxYn?Y2@3;N%FELlZQVdr8j%nhP~h0+oM2rWULS8ntLC^Jlf=* zHC#|{zAD-87~eDOS6u@AleT5t#(K-hVfj$)6#5e2jQE)>_8l7#ZQVEizTJp$M!uka z1a1JrmJcIDkFv3SYUeRIal|8g(I%3U$6~Dx6Ed<}OBpes1N=J(mZ&cMK}VzGJTjHu zsE_MC2gbTuu)kp?<9$otjRrdnl@SSnZv9@fU^)ED<&FGFr2sJ?U*i5x`a*qeI2+o? z=k2*%j(52|%!J+>t~TG(9bk`!33X|r`%*INmtaQkS#v#mWtWw*ST~b;)?A9>#2#)^ zj5DP-+=JHK4CE7USDhBUNcHK!gdLwD_vI^@ zY36&ypskz3d{7c7&n*!7td8F~JPgo%57l(|kAa_1-9`E3`67i4Elu*}Xr%EUdfsxDwcIbo9KayzgaAV15Vsh`$8EY?qmhkGX8 zL-;IJJLkM#4mxH#e>=~N8>Qycuw3f z@l?L1!!Yr0;=@E#ZL>t(aZ{w2P(n>o_lc!@$0rsfoSLva&{7w>cgbRE-DYZec>993 z;WUwaxPM}&^Cq8e%W4{HqyhrX zJ-;N{u~E^g8tQPdeO*56xKak?{Ts2eeeQM1f+s|I_Sj zvWntM-ji=T6%yxp)6p|PQYe`5)0}Vgugqz5J+c`sg@%GK)+|ba4Idq1o;w@FJ>`f= zkcC_D(V?KDYseT_bOYG8=Pmtr6CWKt{Vh8|r*eK4X}M;TB{vR3GNO|gp_go#vj&D< zQURtrUo1;4ybeBvsjY%!HkuP4!I?IBtyMozQ=uoLcFswx%NhStyBd_YWl`tJs3Y;_ zl$vGSh_fv>foU;IFk2?bs$7+L_SI88XdkZ9 z=FSGM5NGIr0as65M4MF`+am0|v%l1;s@|jW9e*T$JGI>6J!*$rsWac4{&#xuO!Fhd zDKJydg1rFSfQ{&#uzAov8E#Q#MB?zgD2HR#rJ?9}R*`LHe<4=s-U6Q1fz}>zcLn_N zSA?Sp|8v}L_GK?94EGr!yZB0Lc83>+ZO=QyJU)I8>^r&(Qe1ajdmlZixs!_wFBm!R zw;+F);U*0|8eG0pzXt3BnrXLkRW_~(?lU>bdg~qq(}$La_mM4>d|(=oF?Xl8wpLrz)}5i4xMMfSpHY4&L17qVlokwLWQ$n@DwD z%`dRnIQee8B_whl(wxLI8$d!oI4lQr3y{zk&a=QfY?078!6%4FD75!99ua|rdcmdv zD?vQ`nCDSA658SYEddGbqy7#+LX-BosGa~OV7V_7^S-yyS4O7zQs<1HqQY3Pc*#iF z*Hev?k)UYV$5SX|i0=`X`pLUeaNGJ`U3 zDy}C9NCxJqHkAq}&68GjdCxaHcEPVxrpbZH?y7@J%(dX*zcv$- zVYw?EOp}k4tg0)MChwb*>%kj97bl%O+9nTspPsxr`Pas6qmXjH6a*P)n!Q3ETwku6 z3;jR_1fV?wF*?h(3_u3)&35B5Y{LgB*m!OQ5C?C@a$l+Q!rj zjRcK4=J+}svo~e2c8|3wm6M?^AmlO^glVJv7~9CAJ!VRsho3;GxS40Y#NzA1-%)DEmaY-%H{frW#5`u{QR_M{D` zvu{o^z-P2uOqVCmOfEy5pzJo~n9fg5x11TlH_dW^ny22KNI1uBUmHfaPuGQor)rypm#ug&bKKtcV0 z*LLrz8B-Tcpvl{$3;khJ(CtA}3#LwzS5DQNuUgFMEZCZ;zD+`q~8OwFItVv=CLlwFgW$+M@@5nnJ=nCFy}V2RAr zWc2r&dTD7r6<}T}$TG?k*`=Gr`e}lx}Gl&A@s}8i{^P&EHIpp{CdM#C-dQN?c(g`)Cn4Y7* zWWS^sE>hYkZPenBc*xG3d(>hI^j;}NY2N}^mpZ1!qWIjys<##CQ!yIRz425Q#h-eQ z+)CXnbOt^Jo>YHKen}0dUZA>Zl~CKLZMaG5H1)EeKgra2DwTRb(itewnxZCXa;QU9 zZq#M!C`DVzPxMR0VWr64O==N!Sd2;KQ-6UO)D+`U>Raj@bzA8@^cOjQzfr$wqV$l<+5jU!J7Qk=qk#1B#>tUN7V*xu3EQ5ty}PJ3Z)eNj;3 zB@yTgTQltp&3IZYC4!b>97W45Nu?doUQjzmn=neG1=1X-$yH;JG}?G)CXFmEFr5~6 zr+v^kbt7KUHt4&NAA@|0$<-t>~a2 z{wXk*)=aCWYS5g*v}ki#mud3U8R`+GTZ4Rp|&G}AO6(Yk3@t|l5@qK#Wzr#+*cHngGMq9q!>FQh;RX-{eXnsiz? z^*(Kk)+KRDAFsAr@VBl?8()hhJ8$!V5GvSjCX5bPyh-Db{p9bHYc%HtaX)!J%?QYD zLK#a_x+fn|=P8MX7bzpPVdj~WBemTn>QCQN0t(M)ql|@hr6`f7A5*vPyeXFh@1wR0 z(r+Ywzj>P+rkO+0r5ch}VWRX(@qoft4U+UyT0inL?KMS-9t={T@4()ci;4*8Mrcmj zs?&Wye=P5xHmcU9cPLhi=+S>rIyKiQvh+#2t_Oc;=k0a`*5@U(0L{nszbQiWQ z-)X6nUWb0so>Il=e@h!{1?<0}DG&)$A3gXD!0D9-mFaTEM+CFSgMLy&i*82$Lkbs+ zP_3pS^)dCK=gq<2wokQ7DKOeh5kjJx{E?ih*+z?@AJC4YgKK|K^=Y?gLFx~ynGpLH zmDoDcL)=dD5F#=r5_#&}P4YWRJoS^0W6nh(4|*bdD(C zE}#LWf*t_*M!Q_}Mu~Y$!7YsbghG{nMj52mQN-xY_zdW{iJxXO-CPz08q&I~@rM>I zI0d@sF_c>rJ8IOO9=gROUy$afdW*h79;TSfx@kpAgn=GYF4O%qhw01u zrnFPah{pZKlpJaX5l&SyeoG0~?AP3*eIWbNDAYm}k?N~`gPcWW3HT0y74Ne}x{di1 zg|Eb<-yyHi#b|-1q9U)il zrJFKA(HBE9o+!DWb}Y_X|HKG#x2?#VQYrDAa2a~K{jE~$#RStx(maO zVam8kIlzdNc}96hZ_vNf1!6D+J+Y^e=>*_1t&#GHu3c-+IQ?|YB$%;6|KpKIAyp?@ z7E{4=I$cS0L(>wYNv$pJvc5#IXS@=ubd%J#6l7Se=K1PwdM$l4cpjX^sK1#Yp2n!7 zl{Y3a)KViEHS~1GXfWd?8~m+Ql440eM!5yq5iz4#fu!jli5#+v#tpIsqntq+d`qEQ zbWr=Kbo#K!T^g1$YHX$*Dzj@b`7)XQt?B|JOtY6UsXBx?E}+j}^wr6Cj)3W7=<(!l zW-*|<5H`vHBkGz$x4CzlQ3$4m)H4F9*BEf)$|^(S{jcLNnc}N!4*fblz-_|M!GqZ z5vAG42uA%!7d7&@)3pF zTSMfd6)6-3rHwvP`+{;1^@CC|K^Cv0{W7knn~)Tz0?2m`{h$6ib@A!85Z=j3{N28j_MQ&_)7jI z@aBAw{+k>;MFMgk z5T}x+(xy&Lan&p+VN+!BeHNrCFHp`@m}cTsI1SuDn##~yVQkWp1fes&90r=om^wez z43)?sQi&Ei)Q^-v$S2C(K}E)QFpHe%MJQ<&06Y5asmUOooJIgq{}!i2yabAQ3e=irVNYU zQatw!H}p~JXrB9SO&w8iq?HL;=KQ#BD)AXagf=xN2yabkrN1K+DGB1&t9)suW)D?9 ziC57cOm(I#PgPQ*39OfXG&{jb3t=oxRhaKgiBfM-%>?CEYCefK(6**hXjhD9rzQk_ zyfsB=3^tDXKLsC6NpuYc8*}O4>8`;BsQ47-5{K*#_)ygtiePyLvlhl^r_4e#pctym zbRO7=@s?s^BR&0ua*Ku#nnfBgkPLSLm+MS*V_=l@r>g|(US-;NT4&l*DuAIl?Fcf~ zny`H_WeMP>HKyfcGR6C-?NfUcO*tsko8ADu3jXlaeA+>f7hS(XaTa__PA{g9b-u7| zIM?@Azu@%mp(;+RT6#~fOoeN%PTe853Am4!&|wkiE#K)ARL09(@yKZ+v|5}v{Zsgv zI_ss9=n&0wx&~S=o;)3{`TS-0v?lG3Tz^3&L&2?#ZaFmslnVa>b7^9rHrd?iN5#3* zAxDNx-@nvy1ExQcOTh*bupy=e@{_5#NDz09S_gvtM)jb|N;?C-BV@REfC z43CZwVd!!4_T5M_7b60d)JrFqfiuY>&}?!J^fVbHe~vsFECQWZDI`x%c>=|PoHB9? zw1VsgR0)0??Eh{q0@X4U1ARKD07(Z4XaALhJtLO=^PFYIRkxzRgJ&eoZ<>gi7as`F z6v`FJ6`M&Sl*#a25D#LWd@??uunDeHi^mv0c6_{QhXmz{I5%WJNf5El%oR>iHoi*1 zyw!tC*ef^)WGhs`HIA!}zr}oEKE%3e0w@tWDjXs-C8Y%&>OQK%GoQ*a$qoVui!-tp zgkQCD+9gN+C^;XzrI|05tE_b5wO)VC39-$Zz&!KGV|sjO@${ZXy5buV zhl74+;;n@d7BhbzyQ=0OfHsa73sqcFC_;xkxu)nSxi9}zL6ZDwWssz?)K=AJ^;ZWI zpbsUR@X|#ZML+iEJm}8BWIY)Ca5ZI^u1`}tb@2I}ay)HF9UKC(1^H$B3BlE@`ueVp zS%(09(g7p`?dVE3$#{`pq0!GSi9J`#nn_Z-Fmqb1RGp~QHU8-qM|i*b)vijzOXvob z;)CRaJrd63cM6_4LJD-nI(*j%M{YUX9~OR~uI#U=U;UsAS|b9#^IpFK?|YbnX~Q@LVrmF zgBOG?6?E|(@b5~`f`h?9pop1pxTK>~ncBM}2D+lCf}#MT`1g}Epj&5sFzH&? zqgO{%3LYAOJqhH+83XMt&2+77JXV#rf7)YpW_{+hr?yaG+P9gjGh5JUU~Oh1Y-5Ju z|8_hslGfxbBp#d`%*-r)dF;@8x6g3~zD93bH&isJlM33tId643Uk!c2} z@4KL4F_V&MLYy*CA`Vr)PqUcOmG#X@NH5M*O&24+#g}IWCHa|N%6xR^WM;f&SLRz} zCF*;cQO39e8u7>9(grHl@oE-9q#)Ck%$>|p zKqLKd)=^w}da$IWA>~h+h=pUI^i6ZFUbpLeMbFzt2Ste+itRwQq!@=np z=031E)4Y-0ORS2Or&>PSLRaKJk&a2QwWYfCo%Lp@~zxjMsBwwnd{|r_V5?A`oXetvg`X@cq+y`=6;oHoqf`&&W z55;A_kL(9%W3}c;dP!p79hF%*jRlcvk(=gQS&ryGX*{)zg?DzAw;(*QKEOmBH zv_jv!=%AQ9Mp)4_8z;KoYF=@fB#-GSZcxr04TDHMcvg2%@k3e1K?`yNEEV`S>E-M+ za9L4u?kBj!dKT&lB3iEL-R$~e=d9IVGa&beq%`dhG@kvXX>;`Ru#3eG*kxoJpqrV& z%sj*&JOX~<8Pl$#N$TPU*Ftx|TK6FUNA04?MU(w!4Cmfo42!Zvt~020}CSF z-QGfJeXm2~=478~4*u6=lUX?qHm(*LQ8|ORoO@VlG-na!Y-3;}GiN!oB0&ctC4bBo z0vXApvzb?aLq!oRa1+iz^j3j{463kHWMQ^yrglz3X476m=5e9I99Aw`g?j#>ke#}X zSBrR;glopnj9Ybx#6J#0w_H2sidl^r$t~pP!$Az9^&2tkM7Zim>$LGQop3g1M#R~__ zA;6sm^CQ}fj@cUq_d?-ex9224UumBOQZRdZ@vY7x7f{H#cn}KnbDBiJXDqlJ4GGgs zF|M@g54&x0=|G>!dr{FD@fq+u-L@ynEOC8^8&)QECW#zIK9`a4U(h*(OtK7o@z7s! zAApkq7~GPQg@&)a5$PwuHhaZz5!~t_`4%P>5};Pagwmvp{&K?mp{k_uTpx68(zD#j z+@7cwLK}euzd}%kTt&3`^+cgSwzVy6{#QE@L-$yJB0b%3#qK!jEoh`aYZ+hK1=zBv$M0JVnxKzI0hq#F1jq06Q%7!3Y()MMAu zWIozE(dy7AkKE)&M26av=tZ55JW-HE>`io8lHxo8jwA7MM^Fze&(E8awoH=eagG-> z%^iyi{E(;fW<95qe9#KFx=kKIq+(Aag0Sz=4^rk#FACm3^vwyLLq9>^uq=nt2$;j|6c-MR+K@D=+ z3u?s;lZ!4$b!_GlA{?Ti4&s>-yAFMxH4c$TW#*qmyKr>$_cMi23c#R0%H(XIZ<}Z0k8feGyJU;xhTQY> z-%0H)@sPo*DCLX{Y-tj63d;{u?A=Pyhr9{9mRcM{b^JNsp~6fZ^cq0Tl<#`9c%4RE zaxF>~BP2OELIHl*`5Eorh$=r+VA> zQZ)n~gnN<@OanM$J_;=~-;cU!$<1$pum+0`pFp?3C&d{3KZlkGp~=f0Q`nu;Ndb(YeLT+~HoYLmwBi&;x0i6cbK{T0NWh z25B*W%`41XXmmPF_Q=MGGk?l{_XiIg=*>rlL5i5exn(bffC^>~yd*g*C?4_;&M)ty zhX}q_yeS&ozMd;tk$^A;-DqhgJc5MA+aL}EK4310T_HdRS`aer*}cN7^|oV(Q!NkB zJF!P09)dh8=2%ft%OasaM$PM2TaTYK+yzB9g(Ou)7bSJuG0Nj(V;lvjn&JadaCJ{@ zJc#U9gABuTL;msj?b(8MWi=wT9Qs@R9YB~I_#svRblD^UZ4i4MJ28L5B#1>|g?n!h z0&?F(WSUlz5?O0z$vBCYhmaQZ7jtV?5GyZgH07*wW%FvR5Z(wLYWflqLL3Y)hbZI+ zw4^40;6|}VcISGrQPHeS)_hW;_dv}1q{}Uqo{rda5Q?)#a+lagspE&TSt9Ms)|C8M zLXoaD6A@z!s!AA7`aE<5)O+!Tm_2B*zs4CCwG;cm>sA86v7vv~^J8+0OA)Ibl>$-m zMFstX$(HEE-(bCSwu-g!w~3X6eUy5NZ9(5mx`=RZxlU|wO%2~49uxiCIUu- zQwF(#_iiTTr?c{KkNr<0i0INNS%@*n8uU?WG$ot$8qtE*ljkti1!?CXhk@g+UNBpb zDkcT@KEK)3FW-cz-8?;S9n*rolaP_ymZ;-Ha`ZvJW3|TCxY|OcJdYusuug$Up3TjC z0j;=@kB%;o!>tJKQ-{r6`Tiv=J?0_!ki!;hK5T_`hGmquKTj;U0uFO$okW0i%)qKw zEC(US6I6T=@HkLLZr|Cz^0N@43E$%%pig(*SESoX6Trz0x~^J?MUpbrW6; z@rm<6=WBiRctO&IM1dUHk@*8rJQg=>x!m9NE_z+yBi)VNP71-OI_^T`dRwDDn+&oh z#R$pv5iHx!;D3jRh@Y}r$>|{P)JKuzI4VKO5ymD7+CLj+%6ygZCBMo61V8BRhpNxSS^`HB2yCZhM4oPPxa%2=6tg;cHeQaM;u;CP6#Z%cwg8ejidk&U z)?x{F0v?Sx*kyJs^Sz85yRM&HehgjD{+jQCJ)ZE#x!KzugjTkXx*PD9LkoH^l|IkU zS0&xIoOQN3)f)dJ#t0M%Vr%6@i{dxXk6C6+2@fVam3=cD7h{{~o;!lwWW|^k2}bLY z!=YR*>yiH;GRd^r`#B+zloW4ix{YYVPP1+@WA58IdmtY66CrR&jLSQxhf(=Anjy#7 z1?-D?FU0(kOWFE96BxvyR0tgdI6w8{A^wGAp@(yq-3QoE?K^CH(R*xs84ku2^a5(- zoJV4>w@I?m>?({`N{`^&#sYoaBNQBz|GR*&AJlZHYS0J_O$| z>A?#Db^UiEF^;})g(!3^B4!_)g*}omupoA+h!uk6=l6N8B-ci_vD#SA`YU_a*-JPI z+dj(e5avK#>LT-2)Rl!=S8LZ+_I8+DT!#RXR15h=U=YSY{5BT_z4bJ)z76q)H{^>0 zeV&@l?@1kP;|~AqrvXC8gcjpLB8wPkI*uEtfvSj;TD*#sS!@(Lk2cR!Sd@p{>)(z0 z(r+w4vNl@KT+Ej&K|>c$Axz;l0jI+2upX)Fsh>?&@(!sJ!qJNs35e)SPaakY`Q0QA zVVwLL3q9cK8kJ0l5yitCo&-FG?#sRAijC@F!s7%`f~?!AM-uRh@P+i2Ti(JB$b|@B zsYO(bfoYf1M1LtH4&mTWWW9`ao(B!T%`Xfujx7!HSxD_|_VQRv0(rACuN_@z^C}FI z3_e(KVBw2N)Pe&1ISUNv4RGuy&lY7oR&DnPv-koDV%s4i5wL*n>!sUXwgSww`#l+gmdWkQ7|P?Mhx) zi!})5-nH1hcx~|r(v;n=_SEuw+abs-3ysNI9CT<4$itn?&kEef#w=I}_UIdndg4ax z&P9L08n?rI&&$Yl&I7@ILkbt_7Ne3uaK@r$^A0<5A!|VuGr`_ET*Rtc+A@o8gMfy2kWzt<7LLY`IH#oBN7b;h>*x4u^iaO-Vg9cp(-E zGUWVgSBwrnuFTQom~wEO0~{?*2kY0OP-JOb=z>o2mf0Br9=A{nhuN`U?TW##3XmA` zS$3RE_K)}yR?ht01=r~BkV=+!t8#t~>lZF|p(2i1~%kg?TK} z@jd#H<6hnw|WSN*uo&du-mbu{Xe45jNe2+fW@~@LCdpeE=oHy-@{?No=TSK=@ z2~j1S^DG)L&o%Bqy3UEwIgn$L!?VB6 zp=v{}-oI`NU-$P%BOF1)*E!i@9}-SDk>IiE@sPUtK_rHh?Z~uG3e`*zj*E-ig}j?- zjU3=G<~FsbI8mtUoIcoL(E79&$!Pc%r$ixMcsT96&MfDgj!sxo=$v){#EZn$p64)z zxoTb{Kb?NfWllfXMZt>j;xSG=0L3*D+yM&YA9u7}(!9z*qMH}rhW+UGb$@|nA$t6F z7rr`sMuYErv@pxfMqVOPOfyusMXGfx*%Rp>{X|?&$idyGBBf1RX<#;g z1YC_#suERli&Es3S_m=rw>2Uj4+7>FWz;)mo$tLFHUfEj#MhHVsoFV>c@=-3`g8tc zY+cahiLLtqcLGHZj`Ix&?xH9m(F*1iSK?mLq{De)Xv)l;VTT)I@K z`k_#xqRa59OOkS9CwpYUY~+FjQ-5zlEc)cgRP>Lb>HaZj2(c)*$+vRxQ+@-QhAB%n zZvKL+V*N8mg}g_LhdcPUL%T%`qZS>Sq8vCMP5v;SV7xuwL~J5=A)D;>d>p4t{cuiqVC*$VkU<-4&QkUM)S~??j2#ut9ykgvL7Zami>T)*+=$yq z1L?i%KT(X^S}8K{_yy-^1LPR$L#VI`qB3boPnXYi1dkvCaId&_(RVcpYwp3e72k3H z_EU@gs?)z@J>~_9dG;ani*laREJP=f5nUQB7PruL{ppGNP_CptSA^WIB@s3h$9>h% zBTQa;H2p*x0yIn2MV@h@iaC|0mff?H2A_Qx3!Urw1pW8w#KQ#vK2(JXvHPF<%F9ZR zX-j8RY?XRp7YoxW;8wEdUx$(f9>=Pr!$m(m{8oC7YnACe`8MMycUf2b`OT8_vJ0a2 z!|B{=S$lH0B(mx=m_RPja(ZB-_Efn96<$I&x>zV7piyb z{T?3?)f|;kSF3S)P+0#$fN0tT{1$oyJRjNZi&HwWoUY|5#}Uc0(3dJPIV=<N zAHd+!$z@peF)l9hj9yl7Zj118Ny`@ZcCRhVZ*jE09P))d%ra^31AsYe1az6@Uk)); zk3rVQR{`e)<61EIp`u^ZB2(DrJs2-lYqAI=gP)GGD$KjoWR<(*AKz3{PqXd145pM3 zN?$3w);a6mDry39dm@{2l33MryJjpTR>;O988+sWvfRTx#+}em9m;Ds+NfbvLiCEuOfFPL-Z7u?@H={3Uc&779c|r zT@dD`!m@>-^osmdJV;^1JupLbU(kG@idP6x9b3f30eYZEhx9<n&f$ZW+7 zXlvIK2N^<$(fb6Y#k-ck+8-U<4!f=lT-;}eTOCA1kRjCgPQFeo$t>K)&Zxj( z!!2<+ur_UZ0@##1*fTyXaxn%dULLUFD5(kjlKfle8z1zfEUj4RNMFN+D&YQ7t{Wgbe9%bGZ2VR*^JSWa02ZnHJpH6eV$8A)2vD$=vD`HIO(c#xpt zFdp+w|7UqX-;Mb1zWMj=RBu7X=N%xwTyMZ_5XZ(+m)~-t6j28lgg-0%ij&0Tk%m@6 z6sSG1o9mgOvz{>LxgU9erx5TZ;bw?G5-oyn#m2LB0PpW(LY>a{>`-0E{zPx5p_q3u z=CbcIC0buNeoP`Z<6~wJDv)cjD2P0-0ZP;l`H{-Gl!@%M;fy zU**UgH_&FjNjo0$t?!y>U28z!5(YH*A4(G!3*{is$7Fb=czO$K;*^QbrnNkbjP&99 zkx$S_-h%N|t7CabPF51|%@cD#0^9U$fDj|_)C4+z3G zcRY5kF7Ks$#q5Gdd2v8CQYgQ`u!EPnWEnpl)sTCf=Kz_EuTEC%{ikgqNDh7&qvkck z`*0{PE-5dFWh;<#HvKn&+ zLUtrt5#X5;H{qw57nUx~tx9XGuF8*MbXId&-^=MwzFBMX#xWku#O|;(q~=8~0=t7K zMFz1j5%o*A{i~A+tG@ZAE@l>O$nY5X2vuGaQk_Tb*vD%|905s*J_U}8hk_Oh{KFO! z2Y8nt_fU?^_c&!yx0PIE7D5I}^i3FPTS=92zNZ?|Kulh>2Y&Zo;p)3awD_;;@#KJg z5d$oPy#3DZ4h&Ba+?zX_;NC0EU1cdU(V)>DGt)-IO|&^2goLpdo4Uf~9UegPZ?zy7bQ>dVtUK%h1=dyiwirDKV!_pnZ>4MTID<)g5GotmPHyw8C>~7gdf(L|pqE z(z()jEgrwMGz2^m|E5tOU0h;cXP4emJyBLLLX&VmCB)_RqFz1|;0-=N^XEr9J{8^!>!#=KDt_D8#4Dv#W5oX&?kx_q ze84*h_T|ZdH(SKRr9Arx2?1Tpv7!C(8hMh}^xnvsKo3OH3UpR~4_< z4oi&Ew+ez$T|uiJxx9t;PCimwqU@tBhN%4p~@>xv0b&kGUU; z7p%r9Yf0YC@@u#SBst)vqkZlSugUktN~@yl>Vk1yu+Hj2nCI%fV(-Ak zzf(F52yw7AGuQnUVBQxS+$sy4y?TAlWaS!9U6K(Y4IX#%o4k0~1Z=+&N@J=&8aR2r z3szRDN^k?;ZeMjeDq&$5drSEG1t$jiFVsKc2jsdWp0E0^BV3La*{%O&0aLvoUWl^K z`GNc>A`M0ngT;+I)rdi}M>HZ2H6iB~KrH1*+)^Ag9Vxr^xpcp8PWDTc`Zl@g=A1Fwl=a&1LW~18IA9G6An+5h1Jkx9Ilg%@{uMi;aS2iJsn>fRp z%H=KHT&Hk8CiJcubGH`>Ibxt}v7z;}Bn3!Izudf}(+|veQl*Qrb{3DhQnHS7rE{v2 zAD}n2myvhY?SSg2_}GP&9u~q2&4mZ&OmMF2s@a$WO> zLv(|eE7aQeS~w!s-zAfTg~~*(6DnL?F(g(a!YTQ)REdHyZ%X@(xs_?YML)728Ix<8 zYYfqH*q7|!zpPiqNU~p%2t*kT)3jvQlJ&v_vJdH%C+CAe#YmdA<~K=O9;3b2f)|@!xD+5NG3Pj2*b`Crp>C`Q zOnZJkWN%Tzw2i~8)gX3zRlqZSn2MP`1|;W(drmhfz^K4|bzg*J#N022 z>nGDUpnxuDA8ILcqOeCWyKW`F2)A~HZp1C}HpEd*Ny02$*}=ks@ywFn z&;PZKccy!{yLvbmI+qi98&Qh?p(i%_P&c9=oHoLPJ$SOcg#k7SEImh!; z97w>jb(zW0ZXl?3NL(r-8NqSDwCeyOo}%chpHa~9tUf}YDwh~b7Cux#=%3Z55m0+iWg&}^iwxnx!;$%u*Q%_Z7{fIyg(rf z-y)sUkr6Ji$n3pj$#Cp+YTPIQf;Tb}ZOv0Q-spZ6Y7}T<>>Q6mj7l8SS2_Ggdw-uG%;K>9|@G$ztX-9^KGBi8)51fFcH<)PTa z1sf6>avP=)i(VOcEL4b8AN?uiL0&?DR0@*BjYA})@%p)@YYxZ^9Yo3*;eTyMrA_6x z+|DLPL8be)*IS$G`x6BEsa9vDjK`Y;#6xS_&d&unx*luV@O>#RnM1@Y%!9asjc2XW zuB)4GVA(0~1Yp1`-*Dqv@XLiOfouz2NGs8LNr#oN_*uMnwx!j+&yr{Co8Fq?^&8@n z@@7>NvzNbRD!o2|Ta-Q|VE4`Ym=|btA)5qZ81Zkc&6fR{9VhxTErbmO98_dkZKUKSkW6z z8x3Al={HTz!p2Qcbz8#Tw92X2nwM^NZk{-NiA#W7gT^oDA;LBiHcp`GHuH7*HWy>h zZ_v$TB;sAdU9DUcmcTFs9Nh9K8H&t#RjP1lQxxKeDu?irP*5jVHApsy=9fqKm8=8# zx-mZ3Btm_un?cHam+8KAcQ(w<=?B`g?_=+Gr31+1- z-xgE1lz#0BRI8Q1#^dRbwAT~ z%+80Oh^iDwWbv%BCD?#QP*BT;hs$O>(xNvBdR(L_;b7Ju)Ce*|SG!f+;EI)&h52>*ls!D?6*u7pjm(8J-6zDV;8?f{NPx>VqA+-%tsEU z@|#O$AB)6pefPP5jd*3WHJxaalDe-oOZ%0?br&RbsR=H$RCrh^w#W`s*{bQM_6u($fSO*REYDz6IGb#<_BuGj z>(j~>uPQ5bpES6#AJyE6OLW1kQ2XedsH z_{u2Yy~&%_cNKS_tl-2~foj&`Z3N&ejStA*^0%g~=t zg6KR@v|X~DyL~1^^46>r#{5CImq?{mplXfU`7}Mdhw`7~BjvW_)2wn(4riTuugwq3{2A|}gT-S#Ay0q4`A zcyFWsBOfMtCN+}z(J!}mM1Euz!*UYM08>$`O`L73`ONlFb4zK?c8mFM#FK3qgB_6x zi@EKc>Bpwu+{%fcw_gZ8KQnhR-?!7SbjB?~>`kWMehTrqtWw6Vu;ael;+3jD)1}b_j zU=`=SBD}oDf+eo`#3ip$!6|D4y+_ut2~Q)GcnRC8q@$pu?L@-Yxf@|qmd%=hYX7B1 z6S_33j~CF=wllWR>~JknvK^;)LgloGUJl0g79M9TH0A)a?AmRlxdMPTH#ck8E)2Q6 zO+yAjAgL@%#mg6lUYIvrJ)SNM)bD_YssQI2Rmt2c@!5R7!;zQGx<+r zA0U6bv!K1`U!6>e(-pno6EGWtp3!4v6JYJK;vLRIC{*@=xvVNaLfULu|E?;YTv%@3 zEu?FiS?df_#YZUyXZ&|zpB`;?ednF_0xEe-QkB=cxiy|I1FyWsv@Lk+-pd_)jp_{r5CFakFI5`U&jS z*pD3<;2tp4Q7N6Kcu92QnrE43{h1Xh{y)b4X|>~~irUf4m>>5*S^J%=bI1n0lMilt zoN+d}2TaS34&A!rart7U6kn2`E{Wy8E2%D4y&cbH#S`{rrNiup;6Y{hXye@0!KI{>3O^Bc)_Thbs!A@o#&3Sm zC|$fmC+_GyzaI{KHO!XJmAzIzKJ?99yD-gaEwuFV!cK-ER_aKmb0|iZ+Fh~tN6wI95Hu^F3vRHgk;IDRI5`uDEn$>cITEbonHW3&{ehud-4?Uz;z{6 zGfUXLxqk#>ULA2n@D35{75=dXiSE~Y|4W4)U+c}D&LbQ7QbI+1ZPH1?;!YJXp0>R+ z#z!x|*?F(}i~pCYpVZ4yP2obmK`OqOwWBXO&ld$%^QU)I@ny0BPwI<Tsg3wE}GvrxvuPL+&6iE z|3@vhSkkF$sob&52X>cWhGp;h-|l_mGx<{8 zMr$7$UaQ;Ri34&ZB^#@NIKW6F!o+Q^*sY?ePkngxIPAmeHK9Jh_EuHxzA$1vg|93t zIePcn>D}wY?K_CwO1{+YY?YPF0nPKfW776_WYk-B3oTkYc{^unn&ghdSd{0*ExWVI z4|eYgX4G%~1zT0w9tl`yro!lMCl8752zkCUv-Add-NEKZNZ;M9OV^Q(;|FI@tVL7n zge|RwYoG6Sh4>lW-q})C-i4<|$}R4GQ@*uxQL6{cNi(=QC2_3Qv5>d(Hy=vw4VhbZ z2e%b<8k`$`7y64&5UFV@MjgpPiTU%76-|nE&i!kTwsqsbmw9)pHB?)*SYGPD@!fM$ z0_EZ^R%`|wQ;e6pE<%p3)l$W)777#J31jM1wM-_#lK<_x?ZQO>LL871id%YuRNFge z5JbPaJ6fybF2GNNN$yJThOFCDxmBw8%0e*_+^)(QVGADe^)?)oURQC=<0|988S2{Z zzZ*g384wFYRpVDS)A-xl=hLi!^ zxk%?EW~BQfFX$=moxNtt$12L~tY$HZC-+i9KggMNVr2#%?PBiBd&THU{kviYlBkZ0dpq$2ERzP>j~Mvw!_d=*%yrqs49-cK=!t8z!> z=Q`u1n!)~MzB6uH7}_!>YxanI{+`u%Ep83js&Vn=yOMHT*3O7^n%vUU^GkH<_KyeD!6u`7EPSu1-BduPE{_PU5C(}Q>QE}@F(dkK$Y_f8pv zJ{nE`vpZs~l<|J=Mfy1LCG?oYhh0t8>JYUV_j89UN;Y4psKAh*{*H?`Ep!`D52`79 zfigeDtrW$@lXhR0F2-J#{!gTL_uqZ?=S&|-WV}1|XwPCFtD^6^-^1o)&)9hV^CdUO3P zXTdK2YQBjyB4#%)aIWo!LW-3QtGWgyCa>X z8kQty!tH9lEZv~n#w;CH#V<53Dc$DX?fg9|dH&pWsUHWa(^t>A=fWi}q8}|?#8zeR z|B&&|2zlmTq2$j$z<;|J%yPSz;@_$QVPg(EulysSZ$-{5egGO7Happ0&sZ=A={ZE4 zZZ(?EmtIsSHG*~=sMkBJn{ey3n$Fd(T9=(o{|3wx<)8eUJJi|gBXKI{{d~&|Z?XR0 zmOs6+um7R8T>Mx2PvhM6gAe{a)ht>7Uh-TR&KI4%+K~nPeeYh(yLt07wzYl|>k@Gm z9`U^Rr`J) zg0LVZw(?p4PU{!_vzz~`JZ*1fAa`+SCSv9+JZgqf){2IyK`6J2N9ISvCEns$u%V3^Vc~`tr>8h_o5JOU8_(W7bTOCke#^ z=g#a|zB&U#UYj|Nzd6(AS2!c-QZkd~a0(DJ2ZgsE7F?E2Ui+1F-uld-GqQSY-^$;> zaQU4_=Wbiw)4MQ#X+D0rf9C-F1Ma^bjYnU`1s{YV=G}RQSDvY+gaEiZm0pJc4oZdq zK1=+%8&Q2S{eXnx4lE#Cj3C?o=#yl6>`j<)kD^s}Y>q=-EUnc7dSUnVSZGgYN>S{` zl#Bfo#QmR$lpfb8|8&tH#8w4}1XFs* zVh<8Xy%U5peoMAa;RMj3G3NoV&Inv*_+t5t*|*0_;Dvy-U~a(aCR@nUfB8xENwF_<_xRu;Z4yRkO=><+%|%duqsbNTqm2kTQ@F zAeH*C|L}hCHdsCu;hb89Q-nE#JAAfAz+68s$6_Q9uokAX_CwR-|;H^v231dfg023hg44ap!pKopjPN7CbNU{=a(k$f;X-DLph zcsVW+<%$46kHQ{IT_MU#Y;y^pokdPf-3)(Q2aAXsxB%yQUUsse1fr%K%cB%~{`LVS zO~n1KWFQrFeA*QH0cS_?BQ7OYkT(J=$t?F6F^Z9p3Qo_Bun1AR??s6u7L*qwgDW(x z65;s~PoR-W*{I1p7gR|-7i1d(fDT9Qf}7wYVtC7uPRaER=rYgMWiQ$Crc{_}sOi;f zuq(0uFosf>mXF9I^j}{4;>zfKhhik|g67IwW+bI#%2{LEiI7|6ldPey|*$+canO21~sIaH(&A;Km!elq+$tx`{65PfbH`S<`5se17SNM$4y!HcUe# zLcekOZk#q&sB<(UYaA%)4RV3iM;BIlk%v+{QBQAn6``9aaZN z-`pjS`&AHM3{DLOH-3C9QF85I7zko@xp9D!g*>&)tH^T>KobTJX#QS$g_>446tR{k zyuv$>u5Kc~Gm)qlea==903ihQ;AnO7KY7K$&(f6jE zAPf1nGa*`Mw^($#G$FkxPL1xeF=xh$BsC0w&bYMIm=@noST3W^;aBx@Za;V02keat z_W&yDKHCSp+}MC6HtdW2RDtmFpKEGJ0Pa*U3SrF$arl$CmNyV+ZH%-rp;a9 zFBJ(W6|+W|A$_&>+v>=S1EJuJI0+&m|CHX~BaMXYzT{qj+rG&VP)ijRNS-|)O#nDx zYnz;F>waFV{SKs23E77O`RAGktlnQ`V%ZQ zcMH7Wi>EkaR>jt?N7N>{eri#WcE4}jT`G*0Px}lJ`}e^gZGB9AA%5$Hcw1L~WUDgy zj1v_Z+8#9omwuR9Fp~W`DwV1XZfq~Pae+Eueofh-Kg>HVxZkjaCa0dFxRC!>1q1Rk zm(MJcIvmqZ`+y_#J#ZI#?TLO!0Rn9SS2O%|0|BkTZ!qNIK_siJ1ZB9hBtJJAefo#B zyW1Ouc65PE=R#p*Z~BXvz2xWETU7mOU8-fsB92*Mg}>F7K(z(hC!S9DB!A9&T;l`H z!E74)UqBblu;Cck&wSv`K43hCVBFvIxyq$$A-`}Wn(!aZM(ydAUs)xPbdA7~4BUy~ z6+C(*D`3aCin5U+OzB*V%akJd4j2z^t#UMK_|(=yzNw>Oay1%PTtDX@VMnG2D2y4q zkpFO*{n60%SPQruu!3CP8!(_kSE)#!DoXI1xLPiO+wa~*xZYBwqjp~{W(1}N+)6og zIRNS6{8at0+7+rQ>0HuPs(Fbq-Jo-!Q^M$IMp2LsJr1=NvQyl~JI3|tcVEJlki%Wm z5#YzjjdyAiia<|wp5cB~nX3!&CSZH;uSp{?Pu#Aw@_u>? zQ|H98X0sA`E&H=H+EKEq~Fm@ zq5m_~%1WnSS-wusqHAwaO(~?m+c*2@m-VOs`S#;xQ8|L zD3AaSHYuQ&N^beEPt^jbq}fE*lwY#Dq;CkgK%dTke(=-lYjm$K*CoOM{?vP~AADLj zsA#P7$vk5&Mi=-~pN&7CejZH_Bc;rS!>N{(VW;(>YJc01yZ-&4pQoQu!3Rg(U5ZDj&mdz|gyNXP_gOO4(#a?rk?*57 z>MmEAMOCZVJ|Kb>%UH_-&YO0(i@*Hs*=JxFbi;#D1!871n?)_I2 zt{y%Fz^Z`a6tF4=k8VOv(4SSEacuTTbEI#*h*}7LQM}QgfJfX zt_7rxe|31UjH^#bjRV+2^JbMEUuHB8a6!{R!I6#dJ;-AuBw#HPg*}2ghHt8OlCsv_ z{ibdt%WE2|<<;kB?s6Gs>Eb8(>s|-uMHTD)7TPo^6<#Z{A-LyRYgG#;fg-oiO;1PG{1>(7~Z9YF&kK( z-5~wVB#IElXlLlaZ}lm_;}W{1p^O2lprX^psjoiBz*x)_Lc^g=!A3EM@_sWY9ZyVL z#ZM46@k8urdVj>~g$Q(by7%E52GB!^=7mJK+h)&^i-M4t!)+Tf-`_MaRH%>YqT?(- zzRAj`GO-Uvlq!NED#~>{uKVS}9yzzDlqeA^?s1}PV&A}%wx>#S$`%Bpv1UrSSMi;y0u?}F#%R) zKvVeA2?kf9MN&qm{bhWeFQRUwUFyF)yGxFc;Lkvh{PJ1#`R#C>u+`&VU;fZ=84WiF z%bVR6=(`I{qx<`KE5&Qa4J?}gwv|^v57&oRmOAhAo;r{^xZyq-zIzJj@xavyKp($^ z72Aa#jt5m>enwk_tc>U~2Mw133<#2yFPa2ZrXdsMk#lH-WW}8bB*H5$H?5C8fwaf@ zK_wa{8A>2a>Nh5{ccT0Vc=Vz0gS2TLwMsdks>&#+a*C*CPC9!yG4vUH7^H?d!+6NBr)V<0+^GjH4Uwo~OR`&9&zJHV zxlFS^nMIOg^l9vQ@tR~2H&6Qm#)QhWN5n3G}B|%?^wqeOX zhA{i#EXHv>c6Qp2g=PDj#z?vxh3y%-p4uDF0fJNxgU%MT-+LAv;rH1Q2uG*1F^3ux zr5QAn@!Qa3F}BhpE0a3!VL#nf9v-nLDVd0G+`*#D4VbHW^cyOY_~d7!6H!VtMfLSj ztZ)iL1*6A&5Z*hHiv8zBu1guFhv_uV2PMi1j$~pu;d$|)iW~bz>|%FF8kZ42C0MZ> znRxAIRW3{`TMf^J_xh|)W0%Ws(0;)5F`h|im-OI&-u-ep2z%!BNH^~btV)anNCtRgclN?l>qu%g0v?6>(DZb%F@Q>D{inRE0iFeL6e$SGbAywkV=(#`fbUYx^DyoegtZY zF@m_x^T}9g1BG_X#bKu73hFgRcl&(jZnLuU-P1NbZbQaB|2I-GLY-C~KQD9h~Mj-WRa*YNJCgEQI|q5s8}f zKWIz0R45vC|5it@YLrsDza!d%p7`drLI-^ zV)r96(y+_};B+>ohDp`dS14+pkfLCF1HDY6NNnuHc5zH*Bs(|Ea*GF@eb8e(nOIV} zgWe%(9Iqc0knp~jj&(5Clpp@0$fy2-sW*%7z*bkR{Fgak! ztYcSK9C_+X{XtLJ@{68Q;ZA(mJDH+M?hHBY>8#pok7V7CjCRjK z%w7De<)>;EncPF2M3)}J@#{~eX}Y1iK=vxFkrh!fsV9-AsC<^lYoW>l&KqgfFhSNw zEweAd-`zbIQrlHWd-~L_gB1_{^?N?y@USKlkHKC=Qe%t+ZPfS;gPt# za(}cOr#ij?({G3YhJxQvfPWrA7CF?O+s4mbf;?65E$j@&;UI}+Hq zP$mt_U>Birsb>t!8TEIIP7}!?uO!70I4?hUtCQm#5#xc*x6REaYmyXSp-ijYy}PI^ zmZcLOtHqfKCl;reKB1bknX%W$1EhvEPz%}1Fa3P#*Wyg8zf5n>t&==G{Rn(9#AYsl z;+4>y;=>N6n)_Yr3rF@b>)COzGVgBpZQyV2{%O|U@`M&xP2D!~MrHiCdOnqrxIF`L}%NP z>zt0ll$UB5OY|7>h{L<+6vZ;m*2j2uE^U?32w7(!W_JdG>4~ZZ*Wmx6yDXimgTS=A zOpgkq>`N1wY?D5xOSE{W+qUPJ+$HJ+I+G9zTgMyVbZxXj zYcyAaJ@Hz_vz!;mfGp`;KXbGW5_H`4BTQxMA&F85EE+{I4NbEOMGSIAjb6Bpa~5?c zI41N`@m^U4Jn(P?l^{dme9d{@UZXzJ>~n^c&>`)m56nSI(8BF(V+Dhxtwi0;X0skZArG54e8LaHC2ot^hD-v>p>dd6aPtb4#9gMl#8kT6WV7iZ zbJg)kMv?htge`Cap4q6#2nML5nkSOD(-jU-BG+x~(3O0`u87*oI{#HmIM-Bmf;LI7 zU^CcDGv(Z=K=;#5Vg4;ULyRfLvjge+n1jU5`U~~ZgAeYUk;2Wi4CoPKgWPJfxQ7`o!(8;r!J4utjiv|Uk@1@58^ zcn-Qg^8TR$;XQ=2qrE5YVpQ2v+|^Wtvq8};cGNs9Vv_xs(ap$tz?ZJtR!bNEwID}i zN-C_noQbB&K57J63Gf)v&7Ioh55GxvtZM?vhWJba41|K6GxOCL%3X@qyE>%0$ zs9&Q-U&tvZu-<;dFO)I4|wN4qy~df6MJcBJYfh zF7H#*d7ei_3D1ojz!Pyz6*OcIa5oquj+G9zy`(nW9b?`iSpP-Uwwd&2JOyAa35z!G zTMm@LTmy2s4)i-!0laT&owQt@3~vkgg{#h7;=tL8x2?JQg{)^W)%a4LDfA>yrgD!o z`DstpZmY?M51snh)fBtjfO!qxD1GJra!bj(#y2*yY4<#(W;srPIH0NQP5X~|91e%) zt|FZa+qSm#H)Vwj?7nO`nA5AHjukV<07@{SP8!hR(gdD}_ezYlYOc6h`5LpTw#wVe zUl42C;B7sx&(8oE^Cb>(yBHFCXd3M@`~!6|{6o|q!6uIV2nhcHCI8vNn{kcTQL3{u z&%QMpC^lns*+}R|I9v?jdii4((IjWZ7tl=VwXhe&96td-2LPJ9#v2-UBWUwY>mlrZ zxn2A{Tz79Lejwi)6c5n6YRX^d-8!gB0@K@p&Qek5mRpTsB^)f8B<-$}sq?Lf$e&Yn zkX_{B8UY-*AO9e0yT+)Dg5FKX>LpU8W9`=1laG z&4|JBrQW7nyrK*>p5SjFo@4FH)M-3PaF`jV(kBs+>v)J?qwm7!?r5p-=Whe0^073- zC=Ky9@aA_9Jx2KQ-T0xnzPGuct^7r-6~sIc9!#EF-y@DKJU0X{f4l$C8t*!Mg);-)C zx61p?M>je_{?qp0+rii~F|$7zPW&;xYG`Wwf)37WgdvFP%Ahng@h);bNF_F7dGEc; zBuqz1m=@SY)L{ysFL`E;uMLu>narrOtbwWgia=u@y{T?u041z_jNgE`Ic?2fBvkSx zMhhd&!?c4A{56e{9-VSzfK5m7CP(=|pyABc**J{pgogP3bh6M(>>+~ecoWRWhS~Ey ziKoA3BqeDK^WE9Zup`mI5qgQYI0^jc6chQUrc-w=@|)GF`5AnF7wy4M4yoh*fb_Hr z1QO9HDS8ehIK@xmU*b<=)A>t12)m-;VIPly3oe$t124N7EbJd1NaFPHBui8ES(Sj@ zf+&SVgAWOWJa>T`fo}O4bD&P8-CgB9?kH*h3TIRuKH*d)stU zjtIstV^Q+R3Mo?O})~a+SLR{WF6Zpm@~EU%!d~vPW!%N0qRQYI@<6|lpq?cUwMk#!X0K| z!@lG>(~cd&zV%X>pij}X_+Bxdpr1$XRG4 z@eF>ZPdf)U3TfTL{XOyJevbMhlaPv#m+ELv)Zox+eY3?yka{u{VE?DUhDB zyPd~C1ZyJwDaduw+V={(+4sw|eDee%bt0w>gATUnKiJ=~FiWsd@xW-j?T ztzr-%KN3mY9&MdX>G+uSOBgiqH$cSg6LwTW!`})03&w?VR3%#kpwKZ-^9%21LaEL) z`|-3ffaM}7X?xYr8#ZDO>t|7M#&Py+`yoZQ?+J#{KS`sYFQ#!=ivbj5nZb+WS~7*f z096C0Mw9Hx_%&fO=|7_^VI2J&T$*(fCCz!4T{zlIHIz&Tt}-NcHE0=Vtn~&~hC^PC zH4f8-pUNNFoNrU_Ez$%tB8$g`w`pZw1UkKwqm973mm7pE@wa1BCoYlS)>9l|P!e6n z{U9clkQ9EGvBa}bv8nmPf1dCl<}u1*V9LD^1B!o)2*>p9T?H>X@Iw!_VWs1NJBaGo z$?&tBuIZ!0tKvu})u zoWe-_KujZ#Ghq=+Z=SFr(vigj0z$>XQ=(LyU*h zxL|Ik$eiZHzEgEwv?t^uZAwhpo+j$bn~SOCdnrVSApQBQLU^r@2=V+-uTCTW30@Wb zJ9M0oAo7I!b8Vuv9E~AkOzqHom|wu~{TPuery&+7LG0p0N%ni*uLr4!nkVu_*Kmut zDYyG?zsm*Dv_h?EJ6JkEH7q$OQ|RxLBis&j)EsSlJZn~n~Pr2l0{@ebH2-+a#7Xazmy2wN%};PEm6 z+#zbHNP`0ugi4(fVZ(&e2l*W@$M$aURq2zWrxh|~hQgkJ8%y!f5e_i)u;?gt5&KP) zRTxGuj0~Vt!wdyyixdy7aJH^EVzXd96rEw+HuaS#J|A%xAuRqb=P`fH`*Hnc5iM%l zgfF1{Z;(isJ~#J8WTPUO42tTG!#|1_Ui_yXzwwN`vR}FRM!I+G|Hv)nklD`a!s=88yL@L%SD>zrane@&?992=vikr;@PYYU%*oba@Gvk8<_AO0xoQD7)0}8`PwJOacjL@`S{ZiQr=z4zH&!aG#FEBC1vIT}2*GAt9Z z@<$+GDJGslAM?LS12?{*I$XU_E=Qb)2RR*>4>Q)v-XjgCT@>Xw96`a*X2_4b0?*Zt z>*lfudX_yBX)7P#NiK$jDu*?}aV`$fE&2*RXxp7|1QjNAKVFgM2_KXECSICEcl`}X zUD>iaV6GL~wjw^+dkzyR2`A&V52*K6&_1mcNmbJtuoA0!t7IrX!E#LSLe0yc1$c2NJ7)&3iPmFfFsxKiabf7CjZ~Ch7ZmpQarJD_F zG-#EZ@;rZW34BYL-PSLA6X-U=E33`i(A>F`+jZq*w)uYQhQ{vL< zKA`)!B2JDxEQ16dd6Nvex!OGOh})d8TVjUX)vzH#!?wnp5Y=F$Y`zHtMo)KR!2x}t0qrYa>`j>eC}{eBkq$w!XAk(tLKU%B=rf(1iO&ulD-{0WlR#$ z9c7|<4wR;9(f7p*oWcVSxikI_TfgLI$S)+k{c!HM@qN4Kz` zA#W?nBsA858P2O_NXh9#Z+5;?T02I4`vX+HCSY!Nrz#$@;vvL7srM)+;GdMb8T^FV zdOKJQCrKlm^Y){i%wG2S)jUC!QPJsgA)2Vnf6!u3(u7KwJsk72BC*J?sDt}Mz80e2 z*AaK@mB(5S=>-yxm0P`=YifOf9y8_QDMvoEHl%zsM@vF|<%KIRFSKXt%0L)PP~6s-DH8_J1PV>ngB_K(TFy|##r0+co_G36`N z{1oX!uy^-Wv*hWeohnjsYwFUSyi(Y)$pggs1EzPqg{y2c@Y6L1oLL{p{~OQR><6z? z?P1V|eeTScc_uquB`m0gp2ll~B02H;Fo;?w(xMYNVWMMe2`vPvKfW&~V}{i@*VfEd zqIim@->>ydWCi%7{tbz+8WmX^$~dU z&0X47xo;kJp6DMZN}S*}LEKH|<*01q(Sz|ND9@F*Q;koKu2xpQM*imQU~X9-lb7VV z@}zlJ#rsntxsPjrEEGIjaYieRvLTnxOwlGj-2zX2$_4!tbTbZf!hzm@0jB+sqQEnS zs_RkX)~R*TrvQ25```4}Zs!|F8rPAZrDQuFzLVF(3u8+0Qh&9hl@I5MXU+Xw$0gko z)5fz9vlm$-`V946XALT7`lZEsi%Kk~q%jl!|6Iz5bk z!u(KAL1>3wWT=8ik!O>HU|U|%pkcJcNM;(*?+JOJP4S*2yOX0ueuoNX`h)lEtM?vQ ztDk(qBtwCUEu0z_ZK^9(fte5a?H0}Z$pg$V%YB6>@ovaN@q5THw2(H)y$c27?*4%RdS53sny&Tz!K8PrGJlrm&E zgbcoSe_g}1Zaon`gp{&|03WVfJGM<)Yb>}sH0-0B13pI8#>?P-z@RQ+JRjln6{_~X z#t-aE!-Gg|yv4TM(H%J%Hvz-}!6$0$YBPte>&tOP`w6`Y&a$c?XOlM8AwXdO+_6Mn z_9I~98~Y{40b&GofVruvZal8v#p}_2{Sv%!5ab8|ZEPUEt$$}`rw*=vkMsvHW8d_T z_z+NLPKwZ7a|g%8d>+hU)=z2^OVi68zkNl-oaMbAh;ls5QU-=H`L$^LK=4{?4k{3k zK71OPUw;8cM>=gtN}eH>m4B^B5LP)&mzS5H4@w~3nn?-1;(QF1#F1H72|+4xFFmy>Kj^NAP+2p z)Ol>AAtMqP!W}X=Gw`q2KZzx22IY5|xR{a9vd}PU)J92ta@uW=;{H$I1JL6edX(=y zTU_Fkiuy@Ym0&SG$T7SAN7?C(y-w}4r2|FLu>MOM+aOPx{+4+G(l%iIraLH1SD1Hb0sD9xGKw?&_bITF>`5G zbjwsNGJupFzJI0?EGN}M>rZ%#8d<*qCf%%Ap9D%-KV1L05|b7U`_~;=r={*-_Igou zVgP%H;MQyZB!$ysLtREjpFjKCYLLa) zBZR#38CaGmlH3};hQsJB6ujIle<5^RH9vHx#`99rHZNo6HtiA@dNI8^e(J__w|y2S;1R5H3H{8@kVp>0M`G0A+pb(rZ8 zGl}e9SAuXK+$~bv_*Cv2?3R>IHuilKb%rux*)k=|fDq`w;n@o;`P3zNO&y!NEZi{x z4@IJ|vor2Hq)4vRtNCo?;YyhWY0eAnC@BC`TW+x@)r?q!7>v&hVmutO>|w}z zF9vK#JAJEc2KMJ9>rT7&rR@mxV{&O29!XAeLr;u2Qx&+cKd<=efT?VBU{&-?LpD;m zY1r{f+gv>Jh45*ZHPc2I2@*_gKKY%j_A3j=3r8+DqCz**DlfVP*T%1Z{@XmVT|QJ8(oFMc@rATsiFYk$azuh}g@a2tJ7I z;b@k3xQ|MK1Doul{d@ z_#^d&D;#-avl158KE!%dbuoA=mPCaiwhb(xvI;v-d}Q?_K87TY7#S6)z6#IquoCTA z-L<+dfU?LzFId|{hYo6qEg2zz6ST>A6(In7TzG|=u&Og?I-6_>7w+K#h3)Y2?7wF7 z;&Z*1B#&qUfRx=MnGIe?cyQ#R!M$qYRsT8B-^4}kn-Aox-SJIVU(=-1kQ#@Tszu*4%QKHF9`mG&x^v<=^d*{_& z(gpDA?^@_u1rrh~O_7BXb}=ToiUj%5l+F;; zh=Q;uRRA{Bo}~qy`3oz707A z3!lixkoz3^_XFo@N70LzIWeN(>eZkS?Fie58E)rB0p>8Wo_iLB=D;Ejg~42HeXkB? zL58la)}_jfQrX;xwKXdx>s4$!m{GQzP-R6x@B@7Q-aAWw>&bkDst$r*G|M`&l1+Zh zez5hu!38luIbYF}87RyX=m?wF+DL+R8=h#rO(OJKElCZNa!tE84q!kTn)hUGj-~{3 zj%d5&=kF>-KI6$@`Y0jsa+vnuFM zAc9eeukY?>dorJqY<&^v**+2eZ-|yu;Y|ZBacEKJnnhn9V>WykN{a>_-6^MGzzr8O z`pGI)y1ArYn!4$W*ZW_t`Pib4jHIXgUk;TLO!fniQRLuR0TQKBc`+CkH9iQNYN|g9 z(dvYyzg;w&5EDm zx3|6J+Q5(~%KC9UVHGR=>m-0pUB^(jmOwL_1zQ9n*r($7D{r^9Qq$nSA~94iNDM5K zag=C0bH))!xV|dp&8aGmlP>87b>3h+=!O)INFDrNu9#T?1UrO8AtZD1?}Y*2>I?nG_&N8?dPBJQrHcim9JB{xBeE zLmjkP=7lRzWwTT!!EBeDeT$!*|GVta+LJ4%!#xM3Cv&*}88ILvIe$Pk%{S5CC-^BL zdE)A4$!RPaaD>5Mjfdj((t*RCzeDv%PDt#pDVdB85rag<0Mx|s2%d`$%y_u-9P~)e z53#>AgzA-d@Yyb#Pl#h?Jovb)ekEsQ$h8RkVMS?MuW+NtwDGYi_Lr5)`>=h$0~_Wu z2WBppTW!z}Y-&$&r+qc14#Cf@tAzdK*rKNl9U7;C7BGwh)jeqsmOY9ze}daHj+I=r zTO5n?vf=^!i@tErQ zt^{-}Tz1M@AW;)R+kZ%Nt}ya+>T~Rc+R2eeq@NxeJttPvS7XEMcx@8fwH0O-J%Cnm z2@_%|kX8f^;y!^AXSoj`TM|unTl)iWt8#=v-KXh6Gn?h3XKxR1A21$3GrGC{f;8pe z77A|=QSN^u918ZH-BsQ_qa-1jH71W$y9SBuzE4lpBm@qMbhbqCeDvK>64wu{U_Hp%ZG~PWVsgsOpirg zl}QV4Q<|%%!|R)j#trgxw$MeJIZwiF!21!KB}Uga)07=U8i!mr({KMz`s!&*3^QDD zuFB*aFH!FbeYnyO(9TogiKb7AHf#MTyh7I!X}eFPbPBO*Es(d)n_9LPlYqk1MrMc4 zvPbYcx7FlzCht0D#L)Adzs?R5#N^S*H(*=N1K9IKZ&L6qVQnmG%COGohJ?qyjItzj z(mNZ8UDEzvb^x{6ge#dKqkRzy&dRWq4xPvQWY_S2y6AzCj5Q4o*JJm_PR4dbz`R5M z1=c->Bh=^5wj-#PpOgT%4XJQ|1CqHuAxFS<3W$|4I~n)Wc_%a^ z#QRSy5X#V!?O&fL=RVjd8fNZJh`F(jf6os?5cKA3kp25wu5~+z}o_aXo?Y}3u|LnFS;H=$D z8zyR1j@CYZN?RQ1TaSM&q3eV+;pTe>i(~y64VsQr2I>ADi(~BUC_+_x75KdtLQ6Uj z=kjGVb z-xyW~;Z0t&PGha0=V2&_bYscN8LBE>&5u!c68??nhq+RlXtIU;j!tdXTl>_SPgTcW z9zW3^#p}GWDLE$BF=;!Z;~EH`T*ImNiQEX6$4^eDSrmPY`jk#Gt^WVC`ZfOVdQ)%I z|Ess_Aa2}=_usmox0L**?v$Y5>C>@suqGujb{-wYGis^_^{O9}duVeyl`CrbNCkDR zAxVd0@Qo$$kL&4{m&ZR&4#1Kyicmq_1UeK81((F?O%g+|^%sJF6Zb;;_=-b7g0_bW zFTpX$==0k5NDEqV(C?m)lY5|FCLrb}O}-oxgWanrF1zF2sPIw4h%(s3KqhL-+Mw&E z&IETA)Um_$hvdA{4nP`RX{>Kbd(1Natn3$J^Me}B{%X(Th{(} z?+9vlX{qi)G#+b<{vbWd+spq5Yg>C+p0!q4nX~2z&sy90d?AhPV1bj3+u8oS;z?Dk z>3iM`4;!ZDqT%v_6~J2`Z!j^_>fk9065I`hxAm_%(=sLy(BUXy52()UC{r&Wxm~A+g^5j(h~!7 z&xC!RS+zt}Eq3~AH`8G5`rt!w9}}nDtv@=%d-0L|U+unk+Jk~X8P2(ZW(Nr zr?zd3eQA{He11B_KV1n}U<%AL^=xp}!fkU%-+J;}bF%nUHpl9ezUN;R$R{N;hdq}o zpPFr{EF#&rB|A!es>E0r?SH=ev)fG#Wc0g8T+jU~)Zu@oR%~a59An3ud&*AEMd6J# ziq2mw@Q(^mI`*S93V1K>Kx5!UTe2(B^?ROqbHbd>8Ch?on65j?Gi-(ZousA@GT2xJ zX-!#u1tS6kFW-&ZKK(W-L-JaS`+@XxK#5OPjYNIP6Ce$VEt;qnd;Jw z@8;gY^PS?r^8dLFs@(vtY0idrXl?72vl`AU^4#-xSGBUgTAD=MfxJo3ZR5~aszrOn zMjp`V@tA^4w{(9=UHNMTH;;uYzZx!Rv9JivKXX5--t+Wxqbz$xi@%@LoW21@4?5a^ zmK2YTMqeDU?uYQsPOf)5u4u`2;y@~0s9I%%TSG;esB@)vVq~q9AsX(7Z4&-7xPo`l zJJ}xBbIqD2O*nVz&RzR@FW0o$hCI&#`+8r~#D6Z)uCk@NCo3gfTF>kZ?9XuDZF@^C z1}25mBteM%n#Z31b=Evus%G*AJuh?X(etC0hg3?Y_RmGER_h?8i?@y7Q`#EUYhI4vSi%OS%f8HQHDMmSpCFc_wZLT#~NtnSKrRA?>2>996y-=qSwbnfoO2Ftk^AE>Z)(G$R*TD3YC66>m;-CE&mWzGI zG>>T#0CKn&huvk*P2qRBB#$9_$B$ic@;!(t$f{YQoG!bXo)8@LFaF!*k@|Zo z!nJ0b&rnp?UWk*DiyAsu9 zRxx*J%cOOep1eA|lnz3A1NRPGWMvgb$G`aeN!7pdfUW=8%!2Ib&t|5Esn$yd(wfG$ z3l3iA7j@j+zS&E*b|d6Z-YMnF`#owUM#(Bbe&4A(-dVI;GDVampVy;QlUqfXaPAJ& zdAI#$=9rNY;h=u)QRA%}J7yE21R>h4_y@U{x~*iA^>ODusz$$^OlB79bH|SF%thoDW5@t=k6^bO`pXp)00NS}pF=*aUbjcxsW!wboGmWZvi#gZ{drfR@U@A= zUjPUbdEz4IRe|((Cli|=MvwvrP-1CnK}c1;wCCah%`9nihbs-<*{}P~dAjT?FUw4| zORnMka>`OINmOWz@*rR4rEhOb+j4gGzZLffsX{lrq&H*8JO7irQFpV#uCG%Yo?YLs zU;HE!b7#vL@}E+x93WoO{hobJ{13I^s~#Q~ULgU!W&duW@#$X}c>{v(o&}VOP34VPsf=#gc`1u?RjG#$ z6fV~47iN~2+Lz!XWA;WEX5z;2L6@F|Yfbjs{nr;IKaQJ6EX4k*g?)8-kvo6Z%V`B$!KfJl(~5A>%oqhuL*8SIVt}S09Qb$zmo%k?ApAvelD!5#0XjW z)+@Gnu4OQxNDzi_s;Uf%JJKBNJ=>~Jw6MXh#T4Y{5@1atV3T!_#A8W{irO2-6OJ>5 z&Dq7-0~HrX#BPguga__s9hP_Zh5obGc4cE>rqD{|SbkNCws6II7GVw+eTgbRl@w9kj>28XTJ!;cqYQ#7cZLQa z06>*zaDy6fN6S?PfoHND<}zPK1~sU%mtS)O8gN+#GYGQ{WB@!ZPx;Px&ae;uNJdDOtf`ssH7nz=9F33_=3?}b3 zxQzt~2b^bJuazxbIu|b(!6}7<7<)j*7Oz!(nMY!_CuU9uEjSumC;6G&f2u@E#9?tx zkeiS>z#%ZlnJn6prE=C+{v%KLqhXSUk`sK;Zvv_P=i4S$&3=URCU#@*3Dz&P_)W*# zSJn)?FFGkq>jjWADe0#z+;U9c!Y1~C7f-Nxx;GQEgFNTOKX32`D)K~kz({`)QS%2? zxdjJP)f480UR*R1FH}I)b3NIEK65}AFVsbTb1~N^2he0tV?ZNR1cB9;26_NM9uj17 zUX^8d$fe3DP(N~PJFh!sP=mQaY z1qE>yYRdKm2_SHY)o4y|1hvA3Zdg;1ND+eg0;U)Qs0ae8Xa%h3iUgyEv)G1MVT+GO zh^y9WxcCI6s02gfi=svZrA7qA=sU*vhPNn(Mj-`txB_^nhjuswefWpXSc)E@5vRtC zUDrIYD2v!wi*P83$`}N!Rs<8F1Q$02p2iVBX9UzZY{hnL7y^u3=XGWmb-5;VF2^NB za4?xN6G5N-7byiewvZl|c19q0eL_6%Rt3gpheSXGA@hf9=W^3% z1q{;{6X`n}d1F)nbqtetBUyDQQ(UMx7Q17PF_0l35N8;vk;%f5SKyISw~Imfi>&w& z)(8SrfCRo1GDNbJP5F^8X(2!vkyKKXmSL1gnURSYElt3YS}Br(=a3MIZ}0bR+rb(~ zd68(jkuA}cPq~OuS&&nihE|D{AkdcSQHXrGkW2TI4~dF$IhGVD19o|q8mX3Sd1`6r zaf2Y%v*MR!66 z<|i<;_bmqFEbTXX87P19WEJz#D!YMysRsl5hf37&0#5inlh4TdJdp$X|LTiM6t5SQn=|+M_=ji)`wq z@NuWUGX+%u1yI0W_(+PIm;fAI1V?}b^}`i7mKAG$k zujTrwMj)vmfCW;JZ?BdSqY{!2)2mm|DLX5PK$~xRS9K-u7%Tv^4)X$^$%Y!CuzA{7 zO1m2T$dE1Jv^4h+#MTl``;}2EmPe2T6zR2EYpg1)k`Naod_h zplcc7jj_rV)x;IdG6f!clKf$kM3SlDas?=hV=BvwEX$)sz$NApvq`$7bh>%c<`VFc zla9x0Z9%wycm+j!c3|-lyDDxIcB#RIS#COsb~cBx8k@XP zTOdGdDppIEZCZ$WTbA`BG+nE&UwM>C%#(YUwqv2ig($>YYXtJ!ic~ObO)Hd}_o_hq zr-jJDpR2);*RmhEI{sUjqDrc?da82z5c$D5vN8qP)-(LtsdHIB-0H0vf{&3ax_4N} z)%yaJT$sBX9Emj+0pqGQWv(ocuuaOj8?^gU84NNm<)*a^^R;&qwRkLb)+np2 zdAFNt%YO{4sp?_1e7U0QT(i88j+S>e5QYDzQ-7NPHK3uOvAYgqy#MQLX;ZS40gSAOMZhEcF>U-2J#f0wYQKne#nzZi6Opku5Hk*Jcg~g1 zk`bCi&;))p6LiT0POt<$FtiiV1n)-8#*EB``@nkK&KGPuk|?gU0s<^kY5x~6hFltG zoCM(ls*;G%;rkJvtk9(jZqX7ET@tGWUDBMG5eqv6C{S2siUJzl1JQz5wi5$XngG#E z8q-{{)r^2<8?-dpwn*Ezn&T1$gHli+%UeM!tlP?0{L15Ity!SQ&nk&Ce62Avv>ovR zJzxXDX|9TFwcwVp?R%|}OvuzrIt={~$4mop69Y8>#Eh)f{7A`qECeq>5!`FADc2W8 zT8J-Eh?&~fb(pf48YlKxh?=+8$IB=fH?j|r%|y*INs-DXliFyE$};MV)F0u=UOfck_-ax>1VE~=Fiq2=l>#epwk;zoe1%y5>{rA?KlbQ5 zw9VREfn$-#IqUoaO{-}_Lj^t1Dv|nVIGI-qGcL;A(mEwwRge)NO;amz%3?XnUsW0| zP>G)twWK}PczcmJMz5n~t_rZctTZZkeI#Q6*gU=8H>^6CI%g$$!xW)08tUISFcv*q z8bAvfQS9FeK((Wi%}wh{UP~*Z@){*6!kK-{;LX#p;E(H-FU= z0aH*v1F&iTV9phnm(Y_>;ZEb=wTf^D&;UL7fOeJ}I)aOsR zbk+jJOp$U>3H1<0Ll#KmKT88IQ1vur5H&}#P$)z-BEmy^lr<^i1S>OKYYsNZ7c6As zBW7bP&AB$v*?Q6vd`;cnGNU)F%UNSY7+WzoXahHNUObD_I6Fd}lhc3qbUA`vH=KhP zpM~QU0c0RS2W+qyCbbwWT80tIgi2VUO(>xl3TZVk1Fb@ZY}U**U;}ApWw&kvC3@<< z{_DUV?807#TybkX@S&yi>WP>HA~O+Hmh7*t0vZkN7#dJvc5j)g>}BSNJp(ubWPKAj zOr$Ot3ZU#$aJ?ym1(BibDo_I;u3y)S5g+#$+FtM5PB4UVC~%Pw=@b~ovl|Z-LFNAH z=~f!{uI-L!1ZUw%)>kKAL|?UWUr#dd7%BxDbMOd%6(aIZ{!<5T0G|_+e&Cc6%Kj3s zD7_dTW{xQ5EkGelumsG`7F7oGzLWA8>WD?K@$e*GZvap2nSNtqI@ik}Y=Hz!P(L>R zgpTMkQ{aI;KTlotS``0;A7eXJ1_i=%@Jo+G0|Ek`{x=ZRNK;>Cw-;tuAENf|h}8q` zPk$-O{`FOM?mC6^%I=5-MFxc78)si2VJ1BA{-jBO1#AHsB_CQQFIu+EFo;$67|jGU zF!o_~hgQ#qm{w_N-xf|kO!nmlZqPkH0Qqrm6p5AeqhXcc<2M}*`d$xKWwtwOe6Sh+ zL?F-tSYkkOutIqMBC78)Wrp@z4+8Ladg@|dxiLWCu?DKIFvU&!E6)TiKl_iv^$F3` zfWsiFU-f2RvcDhvP0jYh6!od0?9NqYa?ksWKLxWi6=xx!h@$M+fAvy0g&80Gry&O> zaR+q(1$#gTc3=lka0hz;lFWfTKnL`I954b+fC%&kFhB&t02%;7XFv^f0W6TFpa2}W z19AWg_eF$ zHvyx{{3~p65Q0bx(I^$9siA;^!XU~N;1~=f;`-@{Fp~u?1QlQuIxzSNkh`KC;)ein zf{fyjP>eGt{%l~F0Toq12&g_&R%V*uD^qzr<#m7n{Nw(XV3je0Pf+EVF&WSU@|%x? zDiR1gFory+1&_xVOmAfn3!*`vaxTsQNSO;IFFB<>3l#m}Mc30R%EMK>mOKbPLW1hE zc2x!p3IRDdFwOvB@OJA%5cWachXdI|l`(pBuijCKU6D~X$r?m-E+Jr`fFk&_E+JT% zd~gs7js_n03U@`X5KoliCs-$pF%a6or@#JmP$B38d&Gz%g&l%iG0ZLnrGF&4UKmtBVM2(9F2MAuzjkOC!h`W^ z1MdTUgaJ4}C-ML(N;DYCr$LN6@)V+i3wclXaD4=zFR~7K#Pul%dC*0egzC{hG-3^E z12$-OSdU846ApzQYz%tj9af*fAQ$Y495@4o0;)6-M}(ndKnggA9-_q952Q#UoCZ!T zAU<&l{+=q>MiPQ3EjLcP%sn~hnSY);5w8d>w_Q^ikx^Bu3}06 zKypEO(8TqjVD1`9aF6Y>Od!;{D)=qVm5k~<<20)vSm z4Auwxn1MTDNMIo(b-zH^6jjK4j~b2fiVDvjWSOOdN} zA4f4Ml9zLlE?VRIuoMPmQoM^hk{r;7Pb3IQ<%_t&ViBLl9U2ge7^((5#qi`Fxd$593qS!ap;=HV72zd%n?I0^E5H@nCihT>(s zB95UXN(BuWW?EAHFj8&k2^;~vvX+PkEUbi6L4|b4$bdd7q;8XWzwu|yy1Iu2dO!`r z7G-WZqL5c6^8_T8NqZ!+o|s7V8m)SeLMoe1wIbI~#qD|Gh9%p<^cG;xz)pMMpTK)1y-yT1C?J1WHF3K8xj$ zPJ~iR+#ZY2>26^H0Cf?B4a@;C-~vqDuq#5TZbB^~FjHkN6cq9@TxJTbnHdl~Zomv^ zW=E(7z<^$NDto1`{FT7+RH6_-Rjk-nVJdG{DG8u94)Vy>Qf-M_FTe`K5!wg?YCvtu zG$23+Fa^i~7(9t1nU=d@1Te=%1EU^|jKW%$p+?DyEMTKlgdz%5V&;f3if37fXrB}) zRP$;Wg~4LvhUO9UYXVC^6WyU#)&dX_q>@zp1^P*WhzJwBk&4I<^#dP$q=`@iC`}Bw zG>Vz}2bMM&B?eO@Jz^F2w+o1-~&QT>1q=4`= zYxj~09E{_6&yav_TMwyI)&ZQ6#5=-g=~xjcX}2XQqhzGs<`dXTL(U%EqQu1!Zjq59 zniHW_U^Py}D3a8onFxJT-hmtZ87J?MpDH?=6OxIdmT*6rUD0e6pG=FPob;j6kfonG zZL-2HEvZ+tq{^6Gx?+5&3N(Q#025l;lClCxpbwcT`EswOz*1BJO8{(!866ce2$5Q$ z2vCYJea`pULCe(@+g$vj4y}ed#6g+cyGdI5;suBRBLD-CfDkAGN1#mHp(WZx+h&RZ0Z}7RhYl9ca-b6-Q++BwMMd?N6xB+vsfj+(BS1PK0~5tctbugOI@s_jnkeG3 z0;KZ>z=kO~8bI7=%5Cy$VEK*{nnHbt*32o4CSR?##*{2-4HKwCcN8Bf&bql@wA8u6 zN_D73s!dPj1fZM1Ndj76wPB@2Au<+?yowgFNJZ>WiJ-J10qG{nFG z0a}1$Xin+!GWcli;1q8oQbo)zHH%#7ETVn$19F8cIft!$=M}J}Y$2DgM~!wLbp?o2r6+1&03!NSScZH8BMf0ucR&oV zsWvs1rc__516d8WQg+-SAYD@y6q&=paw>9#AJISHu3NM%%1L)TG# zG*ES^W`u}}JUOaXwWZihdU{ol%2b^Wt-N_eAP;mDliE<9kY%Y-aS(Klng^T&;p?#lTH%v2RyN_3>1Mmp0cX8+v00YlvO}L8=6c>tE#zxU&nkgfhcQlAJBH zuH;nN;1nJBYM?+J;;ve2B0Yg5a5D8oCv{VwlqI~j-KXiQt6w!!%F|OQy9q%@nSl4S zt6?SzSXh>HS(BVHT&_=u>NuLXE)4a8Db`oT(6p2bm8gK4)VEyaozmH<9N zCB*d!fvE_WWtr@rWdcEpEQl=WqOH8qZo6Vyj9{$Eh&Zom7Kd4|=8m{^HonSStIWO0 zHzl^S-b-kq1G7MuqJ32}d#Nd7qds-l z58I+#_=rIfKjr|txIS&QaXvZ1_v+psIjwwV4Z7MT>FW2)9ZN_o_w{b}*IbjX=u+Q2 zgZ#8I!J0AKL(OdO2)1FyWe{5lNhGAJkA0*X0?NwQCv-ZO>|bqp<5tf~*}`=64u%5o zvF$6HSS2?oC8O+J2s5Yi=J`+GLB@<`r#N1iC)Hq{D^~1E13x_@d3N$q*>x*%Pb#@} zul+X9($^%ABQTZu&DqWm_2z{b7mEronz zyK=4PUR~!|JE5+SeV$ZDMIeD62%vJ}R0xedPI27YvmgRULz#F3j!`Z|#Gc|ks)vrS zhiecl4x%)G2fj#tYzrpekyKE^4NASQ1Ce--7QlIXQp;lt1L2Q+4|p;AWB`1` zkfFua*tW$I%=|)Dnx%ngk5+%8<7*hUmm=@(r~Z>fkc6{fg@?7%2F!PgE1j`&5$fOP;IRgPZhC%Lc?1O*7% zDClD=*o8vWdDc=}>J$+%2#89;@GjnqV^~2v1bfhqY|$<@G1GcSwWn1X+IL>#Dn=l*wXLw&;l}_Qf~qPc z!jss@=3pEkEo1=c=)r0fiUMI6AcUi>i5<8#wn4;O@&^Sn1jBfKb#vghg^fWsk`-xa z3ugy(ajq*iO}E2meRVFwK>$_Q0E(TcC=S9;02V8~CM7aJE;AAyY~k&CswqIFlwAwJ zw377}c2@t1+aklBl~gj1%V46k2SFZ|$Y)KYAOO<#6t#t{{jJL2)lK_0P%V$b zT{w5+TGnc!+nzwDxT}5D#L{Cf8)8T7qDaNDaC6{X6DuL4r|NsFC`44DB;Qf9aGUR7 zB~1Xw5V47nn9{==g91THL-C2oz>SkdH0HM`fK;g)^lPTRXJv?jbV&%%552XPW-JTc zVyA||;>p6d_|o3WI09EGJyZq&yFg!+{9?%)m>`osEzpxyp+-odOMr;ZEa)c-2hMNH zZfqgHeI`bsZeN}9(|T2z1^hM;klnC=Sr&Zb2>87lMzM;+jC=U9bu%oFWm0yQ(Xv)v z%L3Rd&tY7gfo~oSrN}>%RJAH<)fTh@!%$i=c=oA2Cx!7i(FyoplByQjV60SY6jjMW zyv4TMYGC!N0~4*RSX;Ku$v0tU^NHJOL_BAE!tT zQR`K;Q3NV*0vHA2Qh^@&3AKR9$zT<7HpZ{qgt|7zwWI9X%rC}JTt=uuDjegE+d|&7 zN92m+s#&*uL7+u%_MZHr=5XgX+BQdn<20l$IW2A5Vx=CE%|iRm8aPe&RP#)jNVHMv z#ka;j!z%W?6!k+DSP!$vBIm0GWS0PMF21%;FqLc=ean}>w45FdbM{P8q#vrMEp&bc zL|zSajjSTzX;BWGK826^1tew)?K|ddMjlo5hGA>^3fSdEPyk3F9ypnq3+}Z z)9XRyT`>Y09}=M|KG)(}BQ>zRvVn*c}H z(x-4ytGud|r0~?+l|+$xpMo3Ocd+EHc|`&!DYAa(xzS+3wRF98Fd*4t;HcY>MYOdo z4I@)iQGugNq`K9nsLGmHMw3MWC`qUie!@ovKPgPo_<> zM*=5EmzkcGLYs2k3>hsTup-#PYLk4{ML@w$APZDuH+gNgttwQ|dxaO(k4a@Ux$v&; zRE*+mr(-C9HKAfh=?V2wIGQ;w0yxTWLf{tg7r`WyHR$n9ND`Z+>85!n!s=N z)JYrQw&f~gWM_BFrOv4tcVnpDy}S1<@TQ&|ICoFk;tlr*a4XgbIY|NNt>b(I05Xyu z$k)#}TWn6V=$Q&)Z+G*qWdL$e&vxFAbJL+O@b9X@&1<)kURi=9*G1`f(WgeM+ zJPun1*l$w&1V%9&B?85GjnYnwJ?}DMC_VzkxNWKkSzNw8Sgf$mnw3`Hyx!ri7_%%^ zR}Fll0^?zOoxe5hE;Fc@Tq{P$8^UAv7bJ4lS@Zs^ac#noa)FtRA{et_^Ts>VaA95E%=?5gg9_s z+5dVW1KeyT#WgE^pq;D%eQ#FveXo>qac%->o6}Hn`5hk~@~K51V!Ks?Qu$fU=Bn5p zd=&cz>Q$siC174@AU8+X6nEB`Gh0W4$nhNkBWaxgJS7FnTPIaipX#zLfgwq#0caTa zoKLV|7HNikq@%7y&f!(YcH8g|oqdmTCpWR5=@v~fPZfs_)<$BMEA&^Jao$~d$*D61 zt1&?SiDE9>sdN>2~Vu_KjRuR;*e z;Nlxe58Qh`8aypmZVO>BL*SUrgN;VZ61bf0*=2WDxvlzomQf1kxj4chRS+NoG}8`H zfk&GPh#M-NZX~)6)NQcDym}l#{#Q#l7JnHHT~f(A8LhADw9g{S8WbIOA~rP1mlmj+ z)xq3BUSw_Fs9u$^wnK~pT`9W=x6-rS&6DVL+ZYuapT4Vcqcy$^zOU{D&g`|3hycl; zMPl>ZjTU_VgnCwqF4ixdNZjhBuBy`}bE`w?<_d%W>5QSGYiD(;Fu8u5W0lF5ceZ8g z<#$u`P1rvN8IO+9JZj>9^&1;JDnXaXXOQCNtRaQk;JF@#i%vVn zHM81vQ-&s>vgPf+P3$jO8-KLX=+z`cL-;i_SGJ4Ie140 z$MQHJZ)5u$89Wk$;Cte>p&#(BeA0!no8;dtecQ?6WU927=)xVKnd+4X0TpOxi$JVS zr9*wV-*4fKA#JP*M*&*_8eyam{3B{EBr9r<{Bd?zn$zBC@PegWY)+{F&wH&*BkwL)MJ+Qx$qBzungvv1Dgu=cIbGZ>J2!dt0mX8GpHglp&`}Xh)+aBA5`Q3O2d= zWCEv>TEFkk8EC+XFEi}@*cR_~US*EFb0_bxihwF|JayW3Tf}Yxpxi1k`H|F{qkNMe zgQh&6M_sg&utr13s7e=alb{D~&l|#hek}q~AVawT>#K5@`4gI~Gc@CD;ZFN$5lScZ z*|g~5)QW@5on>~H)$5_9?n&#CfJJNZ?v@yQj(cjv>IuRo!5!|j3j3n=-V9{MHPrw?v0jUVmROrB0P0e4?!em-Kn zc_v@&&ndQFk)nPJFZSGhL$W@%%<~Hd*_Q!JKvWoSs^{^OU&u#z0RzSh>Xe_*Ke%yi zA36{tdr?M#Y1)U#m{V?_=nMY7zG8bmxV?IB9J{WD7b#S?Ykmgk>#9zDFtwZFk?Y^r zowS{n!*e8%&KG}~!TltioHkq0YKhYxM(9>~DA(|QFFxtVK8I<{ltX9pY=IMleY79n z7=b_D1Ml9C?KwJ|T}gqReysZWO#8B^tL3557Cb*wjH3f#EN>oFIO*j-SZ7+rGQLse zrt*3t0a(jIu7_-H`_d6soR?#5J6#8)g4*nV9K&dr5j;`{8-cZJxNbvQ&;`8xPH7j& z_s$_aNsF?Um2@3Q0Kq5r-9Uawb~PHL8(&)WBmvfbmL9Q}l0`5o)C=IxQDt_>q%uFO zEuoYEXacq*T9f=Y`KW%92%49Xq$Hvl%H{ZZaPQvurj~td;UB>;G)N*rAc+NxVP|ug zc7}DRa6F)9w*(4eah~XO^TaW9TSlh)BFiEZPmgbd`8$Pv`lX{tt1wU;eZah68XqMd-! z)s;2iMRSP75M^+Q8p#x5mvIq$!CR1b#7u#&PLYR{A#V>J@z!>cB|g)7(6y{2EeQsZ z^JRbPoEd1QfP$8w8aVPDSaO_UC9idX#m1Kg3vy@gjyspfZDvRS95@>IOkl-ehik}d zrC@rdlCUJYivYL_u6IMgl@(geaIKvzsPe>d2^QdVrXV&N0f1KMAq-4ynHa>mBP z#6xi;`Q3i!hd6-Q3yq}!z+)>(`c`I)D&d^=mHvf;^h!J@xWoX>-Z*7I!BN{mKlnHm zfC``Lg94{kdjO|3u#uyw~CK3F~Na%~GoPz4My=b%Ku47wx^ zminh5%vUkZ#q!*lDZkUch1s!WJK+-&=5Z5cAn@jr#d)A0Rrszbjks9-b5 zl9_sI7isXuXoY2#By!Hd04v@dLO?}w8d*i6$?;^HpcL4frG>HHJeXtkX273&h61E= zj|L~w%5hRxjH^;uumx0=OK=E!Q8G;=gVLdelVQX5JJo-NoZf<`;DXH^%9lD&O{W$W z2mfVssl@N}72tR~P69L;m}$bullZBWh_x7EQOuQiBbm9gm(^lJU}MIeOg1H05#RyBh{NGDnAeGX zaal!Eh}10k)R>6knOHL7-h^+|P43ElqqNXIS^?yer=eHClu>|Ka93_3`4jSCY)4?{ zQ6SQZ+KB6UcY0E&bopGI^;uIV#+{job)PH97RGr!%XO#& z;euz3!B!dGa9>ar(<)MN^3HxW0uE3H8|~xz$#V1B!X?0*Gc`r-H^!lIh*hz|^#NG4 zPZZNC;DVT%JhKF9Gr3~NK<@}upCXq+x*&@z8K*d`330RV$p8feE{<2X_$X$4xZi=+$b2i149Nw$2u{dcWw2{>3Lj&YaVw@{ z-r_LW5o(8R0l0Cn0E}9TpOQ~uG&&u(m@=YzWJ${S9C9Qg2Zu-|;*2LFq?Y!viH{Wt zg;)%YMu9>zBnpZbVBOP>=qQ;KNOLu^V~7P-r7=b-TVrfB_*EJCold6%JsMx)=8>B* zQ+}O($0>dq$wD1MNR=bOpVakZEnAQYekRNHW=6ocsOOnjevil)xUhbW*@m$(;%tS; z%;+hYj1k;aWw2$9xkll5U@1c|(pILd#3JDp6_-!F4X7ThfmrP}kQE591Z)KZOW#E^IR4gJlgOjTA}XrKo6WX&_H!l9W&@ z8p;w-5srrAnTY4XhpJHFNCZ4i>EPYS5#)_w6AD$1yeM@@!}M*fW@w^c#mp7O!<{`>Yk2wME8v^-(SyrPX zhLuHnX+s@I(?v#+?9@KSDSB}*L))m(umwsU)MzvwSSpV-2X45GBfx>SKN5-=Lc%y2 zBwD*h^4C2UQb1jpNK0h|I7NFc{@Q&edkW0e*f-ham-k@$opkW{3y z^H$APGa}=Nh{=A&oILj=3RhVQwPKg3apYo;B`WSsj9_Qg=$Vktq+9m*)jjguMgm;z zGIP@hNFcs&*`zI3#NlA5P{H%$^(!k>-6fylDaK=v5+oU3%49QX+&zsF9u6=n_I_*O z;{*2Li1FOFGSBr^!WE%-X(>G!TJ|cY3gJEs) zWL5bxs6r?}!4>_HP8r!BvfE&A{G?}LtJI8(ADjqPUSMsVa7a$|g3V;{_LgOpHKkD^ zQI-ZdB^9?*Zae7)ThNBEV{^(A1r=tCFkeTr?W`@68eu{NcwL#)nNmLxCTfZoXbGld zM^C}yKQfX~5eViD0ejS!2QtEQ+a&KG7rF%FWRLmZ zanH4gJYin29xQx@TtMfh>})L!AQnL`b+#E{GR*mPL{2t{)2Tuq;zr`9@Ql@^?~o3H zb2!+-XS;=e*(KTL>X|Q=VF~G0u9OWT6fnspcYL7la9zrmzGbNArywq<4T1JW!AUBl zcgMEjm-OW>Gzf~9YUO=d)BTqZlx82a1&Y>#OqZh9t$njs?}$l z3kG$EY)~@`3CY_nZ1827v4U9vB4&VjLXtg5W@AEMS~7ZC&bBuSj=P>&=y_avjTx!t z61V{8ScSMS03v&cUDAi)DMG_;;}dFsQI|@_TycHO9cuRaJxi=$VG>K;O-$J=)-`Ty z1QvbvT}gsZ6gj7I>`{TD+Su3K8*ts(=ZpZ4UuBFq;f;4LV7*6H0#2@mE5ax&DXv%* zfZ)M;VTLK=SBiIW<_c*UQk7wb4*9mh8X43?0AwyHs*jfu)zv*z;*J?0(mqYe0)nc6 zSDjv`aNbNfCS%1qoVp)=has0at7RX)qwhJy3v?h;k?Zo+LDN^=Je;K$`*u z>;O>J4&YP$QUgh{0$}k|r(camZDEGyMnl;O!h9>(5Fi93n-Cs6cLc}^$=lO-a8898 zUtO>X^^c4p!|>qg6%XE8cq+DkVce`?N&=C|zd4_@p=LuJ3b7a<4(0^zerq<*1 zAwGOZB|_KRm2sS-P!;|4pS^>l!qmY@#T$+nc(#QP*7}BYlAiSzy^(KeR>qdiHSr;b z6Xy`w32LB$CCrxs>Us2)Pvv-7pw;KGrKEwN&6Nns3;{wtQUppU=3B=CtT6>hdcL1= zW$R#@v5E?+0(Kd|0}guhC{-&c&2}~-BAV%lfBqo|og(GeaUN`CWblJ- zHHFx@sC-@pGN?rees(AUq-+`a2$m57wp6RZ2jo(z68N|(R}2n=s--O485ipQgbN+q z^)m&CX;ZEh76C&sg1UeaB@*TZP*4d$77)bY=J9ks7Ljmd9xV^38>G}j z#0XXa!Gr_!UnYS-bR2L-e38e~0vH55!~i5axDHoB3sQ)l5K@50^Fh!jC_x$k73d&T z1Z~8LMiDjAz9XN^6V4hJ;e9a>O#~sAA;g~LV%JuzEHeEV_5|SR+m_?LJi6pw|jVzR0d2=!mQPAjx_}F13 za%gDhltn4M<$Dds?Zh{KGur|+7@Nsy(vy8gPA|+8yQEf_-{T~LckJW@mz;oA9#6322@&$s^*YN zQobM+7jx7%qk$a>{j7O|RLPPBDvuAOQMP#`V?c{EiLz*<=3>lo1z4oURz|v!K8jo) z6InmVYYdlbh-uo4ENGSClm3n-p-Ebiu9+%nkI1D=1V&0YdVv;w5?63pX6U4a6Gf_# zmK(y}TZ!M@^e~@j|P;*O(|5!nN~(jwq#w{9)KH= z;EtyHT#8yx)r8t)RY@T}nw;4su?wu4#E%^ov5#P?QWkb*bA-ZwjRvo3cv`$Nr5uiXk7Er(k!iHQYD~MG9dmJbErV2pRnJ`(+&c-{g zK7Cz;gOXY@*Nxp}htv-clqB+24Rsp>6j0XOI`_<{0Fpfcm7`E4(bb2496$Ll<)^Az z@1Q;<8NnLmcT3{7uCVb`3cWrQscht2(JW^yulZxsw zxhu*Fv%-=wbmZC{CAYnel>yjxP)RWE3Rmb<_AC}hoOhzmsBKCK8iwjoao&v@L*8s` zr|x2u6{%j`x6(Vlbpe`aM@*G%5@N9dbH+gh1%R{$01GKyGO7ygnMI6IsxS(YG%7R* z;z)2#g=jEZ^Z;vW33{Poe4|0Du#~K+KLCgtbr=CFLbO7n+IYYxWEmJ76A(lm;O%7r zheNWN+JrK#qpdXUmRbP!_H zflzofl8R0#qj4fD6h(4*IDmTz63|7-bp#emIMS_djhVYrjvy}H$f263rej74-b3xV z7-PVu+Nt)9T9cDEeqsR#VoM{*g`v7fAImT0}&(sk&fm zkzen_bMX-oVoE7W@ECASA<31n986+DfWaCAa80#oeIfDe27XBwaUbyrz5&6CvKXu~ z0N2#B9E8=v#hOmw2^wp|+7rwGToVy+5Recs#2wKpgseY-NieeBMX!#@3Tbdnvw;WF zG}s7_#6nP>#9>>h!HNSwtn8}z@O|M10zoUf8(0wwYsqS`<^VWrX&?{<7Q9hP;9A0v zHxCrBBLHB=f#1+xxB+VbTQGods?~#&)+!7Z$b_?nwI-Iwr_;rTUZEAM%9923P3|JN zfpQXbekmmRUJ;g8WuPhtrET_S+AswKnu%w8iH&BI$;L!7r2c&>DoaIqvZ(b8)QM)2 zKkHbLO0I#bPsq&(q#l}L-{iBox=Z6}QBj_@CsxT4RuH`bNkvs~LilazL{E?doE{SE zY$O+y7eA1R@I^EfyqAZnpGZF%QFkN{En#y&LMBrBlK&89@zt@IpGb98; zK)DcsoqbTm^wkq2tnrE9mZ=ODiljoqMF?(=!ZdMKoFbZ49N9-=s8mAwa}umxfCLy( z6_Gr|-T+Id8cDNpzbZT33*-`*?g$v{+LH#H0f>-=+9eRM z2-pRCcK8nH0gAw(GO#?M1H1KEAZ)?x;eoUh9M7YQb|?f4!TAMCMvVxM);hncE`ZV3 ziu~ZJ6eq$n1Q@INO+lf2(64?2kU+x5c`_`jXTT<`Ev&5tL0GNORWyicV2hKh*(15G zrL7P37@rw*rz#s_+jNGP3$90)_$4-8TS@qNp%*Eb*QO{v7y&ctjGm(`8k~f;C8?Gx zv8SZkY*|ccutdEZ*G8GJuMvfv-d50PtRWSv5EE>2NEVxSro53;*T$9?VG>}7>=;{c zQayE^#0cdHZ4l=sTglR)=4XDRAC{FZfd~M;ZIT<^6PM=|eRZG3qdD}ADiB)X$;Ock zr-){z5@9&NZbrU1sDNQJSm#M^wk*Fu#HB)VQdx?%9cUOqrCC2EBVbgpIR(U)2#;S9 zXP|s53*kziK3KhMPj!mK8Fg!EmVO#~DhUvqKCCRzkG+!48mMguSlSjmTO(32ak~-* z7ehdB8&m}&?4G2%=2yX&3&2+juqW&TD~6FEj)7zpJ7}mYto>mem9XY#QmV$@Jh9_z zXxprvBjsvyiYR+mX_;{|4zd`h9_!|j09!z$zj}x!OIF2dR}3xxGEFF60WDt%?`1;9 z$U~=MMRcjE>40`rgpj8yR0YJWyulq&u>uYu!S#?!{IdY9@UI4tu`qd6A|=eD+1f%0HQ2)NUI<+9ZlrinvxD`wN|HF#*`6? zJqtAwxAZLbnHY)Al968717o1NwQ?PB@gKmSbCS%~~ALqHE8j-8;iY~(Wps5`jo z;gj3SnY-r!TpM_tjoPN*aaD?ZA+h-^Mbtb;*_|WWOv}*( z=x>RL!mt9&56S27#w8pKwq+*N-EgPWR-E$5d@{x$zhv0mA~_Q?5;w9;a9kYJz=(gW zL|OTc*tgmUe82)UT7O|^;->^D;E3&zA^d4I2Z0A$JpuHJK9U850BphK5fjELABmG< zt!Xn(0I@-Vx`G7D9$5DnCR~Zj;>}*O?_8BN1gxoa6DJY}p;>QCB?XOABvWETdnHL+rP3Y&`815>lqJ!YLZ+q|IwV9KYW3MGa#uD$q`*ISm0`jgO}A^_IO^lB$NW_YlT8D~keNNnT` z7BK3;NyTW|##bIb_SXoA6_{GR>S^ht^k$<4LHX}3wJ&Y82L(Js;0EM}g4s3&f+2%T z+96DZ1&Pgdp8BeRg1NZ<~ z!<9uaGNbyI04wH_y2CB5>t!L9UgHqJ1H=HeiJH4M5)43@_=zaGxG*mBqwvT98^j8W z%O0p{54&VbrfPM_WdNNfBDSPj+NhbdVs6?)43-9yN(N}_=3Q54MndgsGv%&kg;yE8 zR7Vd;C!Sm{A*0{}kTNd74v^M`O?lI)VX%OdC_sVW)1bS1RE)dswz~?+yE|(gV7#2Y z*m7u39c>u8l9q!47i1zC!6Y49j@3Ui_U^~BttABVFj8+*m8b^R6;w(Z@<>cYmS?M-wi_*L8gj#!urhyR%4RPp5HUQ9Yes-kogjOr^XO~ zQPz)A`8e`jxgpx=l71tt7kjtA_=#Cb>X)LB%fwgbtr;<91D&-tvRsm*^ zWk&D&`?|dLobVgx^}g+G4I2D5x}R+KJ6iO9KVPZK+@ilfAKoVB5-P6DNiQCq(*$pHBx zJnRxJBJY4Ia2`ui7hJdF$TbP{PPvk=%5wm>1pcqR82?_ok=C6lR5iU50W`YD;!?=M z;QA}38%)46v0!O}=m{5rT+oUCM-6Yo7dv1zxL#X{ohzS-LfN@#Kd6AYNgBeRvDZC8QY$>xT* z(Hq{Y5vYO+C}#PDbOn|n)Py0J3`yZCOl-in+KeA8>Cu>4&wh)CM83f$mq@Mw!9qYz z!C}CW^H!FZjaZ)ME{e~GF2K?&^_P*F~$Y}2Jw(>A_M*bp`qeV2L1JT3JukVXY^186Z zKMeiipr~)=sSELL0*4COx@o@UZ~H6$UarFLHaZ)YE5>iT1G;2nii)obOXkCR7D0?b zW|ZFV_J)Wex`a>LyYYX#Xn)xWeAhOzhW{xKeW7sD>Uf~dt)MTPRsmAP=#bm0F)>!Ja9%i zT7bD-mT-1hn!bu>(ChHlO=cYq1^Rxw_ioJ_@~XS0g$i5p?z{(TL#NwV0s8LEDF1T7 z7z!{9CZ#28;56j~9H)iruKNzCZA_Ww^xh_#`VlBnFum}8_LZ%i;{+HkAGU+gv z;EgrJ5!^K#=@uzu?H!5iE!-S&5e_u(=lD)(qu}Q2A&&0g(J6vVh#6NLQtmvk{!YxQVTO!3h(sG;)L&s6E zwq_KExAhiz+mi$V=Pi72=xS^0E!NY}y|u*3@{!*O6aYW1-f4^nkj@%oc#6hT9INUa7 zd8{D3YZxoA=>w?jsFq3cY>6PJxpOdwG5p4z)aeh)AJ44?ayGmrkCGHgrZVD9g3Pbm ziTmol)r5mwh4RAagE%~CUL#mtfvfmt9&Ze?cHiu6NYx{CzFxxrt*ey;2)JHv-HVLe z$&*cE`o_ei{jJPN67tCz+=tud_M36fBKh~NB&`^vOPkVy*&xOn5w$69SUBUYA(M8B zrko?%C7W=`lD#yR#2zgSjQNV>nrbKaDzV#OeXPycDF$OUJZred<>-|6KHYbnDn(2k z8_46xPK@OC$UO3JoGcT`jhZvc5i`T^9Iy*T-O6&#GAyJBpN}k1s2{2(2}r2lgsd+GyJy;45d-JeMEkU}$FL%Wrtwf6{ z2h0c$&g&^-Euk_XLu=v0)EUB)Xl2ZxuWP6lA99k&2K6a(x$_q2jPe$b{jTxr8rr1N z@^`FvBFw>V-b|NZ$QGo;tR&mKQ&n87!;ZOl)#jSh;kf4tBSmq7G~XxLBi@$3cQ3A- zH+v#HO0B>VK*`=%!mMuv&*_fwHwb<*(S>(=)ny|;K=_R9;$!CIQo91rykHXew+U-4 zDX^7>KD*9WExA@UtEq4C&FedBCRlTkHIisg+wjOtm++EkEYCvwBBzG2PC+dn0a=03 zOMr29Zf@OkwFn2>VBeS(L@5`KRF@w#8eCM~O8m_LG{6p^twF}GP784Bc+lD!fi)Sc zLier+Jp+)?yqn7K{2@G(Y7SWgu+Vr27ui79P1L6~) zsz!)#ma>`-?QbB?)pB2_^pFgz0WKUuyE`=Wy39qYLXGbe0vW8!7#368StZWim zDxbQIapa6G>%l_RzdH*7EWgS^-g5l&<;WNkabpiA z%POQb?Gy8aqXqgaOb^gxY;#P1#nVbNgd9xU(*QNG?EWT&u`{*ohoCP0roP#pMmRMc z!lPgYDYb>nNiJzBM*s=6SKyaYC=^f%lDE>-F7@nSlk}BH{iiu(4Y3e#Fj&QP4-5r1 znSc7}mcn1|bhb@9GG6j?MCHt>mzmG5;8)Dtg2j&ULMIa^g)Ozcg+5%D9VW00E+%#4 z2DG%10JHU6zGPXb?7T^T3t(TtOu(#7PGffK+3L_Q~s%70+9c)KW!#o=nS)^*v6=!P#@IWSE9feZ9z7T@IgXzT<4M`c85HnnWyh$xmw9JX#PZ?OR7^J5(zLx;8b_Yt4d9dzR*Eyt`#WdP zXD}F8BV+T|TF=E>m1NhJW|V8D1lY)Quo(|i&G}80{i#IPY{B!VYF9MZOOa(}XVYkQ zXdOc3rOb%9)Wvcd3;=$LSF;jXN?Kh06@cT^o!upBJ$B^b+#^u|1Tex^WP=+jX{zk5 zxYqhOMApJfQHMgHg9o#k4%FWxX9Ic z=9~osGmRiJ6|mykzr`V0oY%!u;a>}|O}BW{TG7l;)0Rrl3kYjYjc?3G62t5v<#B6V8zo4v#%o_%y0cfki|wjA3#DYO92^{WzHAH_8@759*& z#gN({RjZm;ajlhNm(;CFO7h%X>AoBljMQpPf^PQ={K;s%$g|t5hum2<=~t}um|Nr$ zZu55&0pT-Wsam2B7}TS|>Rnq7>PF&L=aL6n*Nkc&3kgukVk=6t4+-vU$Y}*t8`1pv zilmpX4Q%c#d!07q3^J}ITF<^*m5jzKvvE9*FxjJlmsXJo=tfZIrkjbkk<3 znn9Oehsn+GOOPx&!o8x$f>i?5M>o(N2`gH3oz>Jk6e0oQ9U^#}V1r<>QXm}LBeQu1 zZa8wLoqBm_v&=9p-aHy0eFRHQ!Q6C&6Gs?^n7$iMz`dK>_KhmB+u5_Q!k9OPjK}rb zlBcbNsBc8E((M0E>xz@XP-*v zt?=EuIfW2C!Rgr-x6+bMpHmvQ%A{Zq%UnT$%;FN$7P7qzUo zZWh&QQ#*4uH(JlvCwOCK@0{8~^98eK2jSY9vG*Jg-)nENb{RXVNFWTLY+G6@K%v=F z!dxjO4*rB_;EAt2_Gb^#J2-Uh)|k>)Op+&svzk;m8cX{)!(q4<9=~znyS5j8@buGI z_|QCtpR$PQaaNr~pjm0IRjoRS zLUAs=WUFx2MxpK*T`Nms9C4oR9|%QTvH*fGhzL{C zmK68WJyLbzR;?89h7%09__kwkyM#A*M#*8&-h#>PoxOY_DJS#jQ-5gnSEi}Tigq~n zeVyOXKAW)9R2i&fKQU1q6bsejPb-##$7PHHKwdwzQ7bO${W3q>oAci%cuV9AbF{r< zPuoE7{lchOH^uc%?l4CIDnL9e?Oa1xpgcY-*99}UZ(2+vay@qQEq*d~h+JhbB&{Q@ zRCXl@@5~*P6HF-LBfv;2=4@-z`Kg^ot2*OF77BH3%hlipQ|^?@08lyb&`d?-@7Y#r(I0D;JI)z4x<{H z>Yze1ntk1nxsg&h;~`c6zY1NG*%(T=pg7k}`!Y>^8t-WDu^-d>^?o0%^Suk1X8EZ` zZZA^FUfEolhuM^;F(gy7yshvjSebcm<|--eb9v<5MV82|^_bH64eLA2X2=9Ij!cax z=8d${vo5J>uQ~6qGeaI^0Xc1Q(Qy@xl{My(9axVbDPfNdc{fk-EcE9jcQrjsdsuQrdQ-#Ba?h!`XV%Nv|x1?-x&G6 z9L@HJLV1)-ZOh)y@VGsnP2ybo@SSCvspWMcLQVVR#y74?{;b>Wc75DRRod%x<~ouG zc2_x|4*ve+f9wC!p08GpI_eD1!Q|xkRbZwArHN@|02r!*Y|60g{#FwzwGps3;8HFl zq*1&TPNg7l+xleUN)(6jES3p0b%bh)|CZgUv(ETd8Gi9N_@y(wsrs|>v=v!qI6Tak z``I!$lRK`zI?=T=J#Xd2Nujk4G%e$|^fGv$sVj>JN044y*-z*MIjux87zFw-XH_Ms z<7+OH1!d2n)7Hn-4`%W--Nv%#09+PEH=f3GGK{*WfKaT&=c}84xa)zsB8^8~+jv(f zuGh|S5y{%RnW(@4A#H5LG`+bsb;_9o~%~Kf~Sv9_Km)ui*rCZ zjreH7=B*8MgL+EbE`b5|dt>EUF}FRdEXaAF=gwcP!CZ0Vas=e5CR?gTtyJvp3K3AE zYKxVKvhJ3N#e-t0o_gnIQZ%Gaa98dvz~!D^aa$Io_-Iq=+B?>oZaeQGdEplnH5 z3%|#taZa+5lD{e!IpjgLd*B5;ly~#N)`dtODUh=OV|VY_MMu;rcw+`8j!`<)!ER*- z-oN`88hT&sH8t#xk38h_19irkZ__vKMTT1khT{kikD7O})c_fb% ziQSm#ZB3thjIfPU9ycJ!Xk1O;It`y^!&+^DtiZM8@TP4ZCXDx2=)UiUy&JlMa!c9$weIMg1SBkXDTqN{JOSw7a%yD@}w3V1xd z+gj;hHyao*a0erRJx(Ot)S@?aE>#Maaym~)v$w$57t>vi)4GGQm`Er(;q!yD9m-dc zu%~d#JKsExISBrAo7 zuJl9~>R?Q&JI|KJ`14%(vh#aXFb`7l39Ujq|0@nysgQ(=d1Sl_P4=RjyKXk`Ogo<; zi&4B6XG34xe!5|mF>c{KRM@?0M*$7|tnMv;?#qs!c(9?{=FYt3K;O=aELr zMh~&4@{n-IZi7iK5`lp$k^^yJd)L{vW8~KNtDe%k@I$$j%&EKNZdo6{ywB^Hy4T$) zn)FYw5!kcSJtm2K8XsWu2#~@jOHj)7x1WlwO^B7rrAO|@g3sMuE7hv0vGnNk8;U&;| z09jwh5v+~5l>|xJKN=O?ZB`~MC9bS6f|JCuJfUh|JZ*ixJ*^jsUof{B{zxT zAf6#`=XU~bniSsjDC#bn72d)iT|jljSYe@bwj){$_I=`4p|s!RGhVF-S`AZ@I?N(4 z7TvL<5i!2F_>|-0_`xB@RlG?)NWO$LhjO%p;xmPB*`#))1g5uS1wg5`!j4kHo(dub za;vp2@JQ9;qqov%x)GQKSWNgt04+)ZxW>Jk>b~~$hLkUp$UMf@k;>td#>?>vZnIy5 zmQC7sx88RTdAcD4e0b}k!ep&5^#r=`2RzVI;D#}>Xk;&OE#(WONS4oE-_*dcm&H~{ z6AXvemg2i1rHr{ApDXZWub-^g$(E5$4QmjNjRBwVJR9gFqMv~ zs$035;>yW}j|y|QCc>x}ftm8Gz}$Ee10d)FD`BaFkizh!{8ng>-v{a=65t9XDvTaU zmO{iYQudTEjzGRoiCOLaL*g2TI&R7GR_>O)4>^lakW48V=y<{Tt7TJqg(`gUU1xUT zIVJT}nCPgmge*)hK5vaA1h3;;Wpp_lRkqGkn4=CJ2GfR;_;KXow(V4R2m>eOy6p!r zK_3EGa_$?GAdSuf{Gyd4IhG#ya^12*NZy+qrxtB@zcHy}0V#~z`-HLI+&Gzx>%Pf& zV`njRkQv0#ilgk!`xg!<#65K2+F~;TWACXr}%IKZrkWZXMJM^PL zNhGg_IR<}S&Wp?ZJw8K!mxTGfZ86N4o=<%Wb54_=-!HmAKa>yeZvKUI zoqXOxBe!t-*GgELA?3m~+t04m!@cI69TElbBAh+YuRtsODvt=DEVVX|Y^_ixM1SsL z^C$wymG`F%z}uscs!#tc&kuTIU07bMNVT$B-CxC6{dANNT!khSUL4U?ypaIFe+vNo zlK{d$@E;i{z=sxmUe4RP=qP6*$QJfq{p2D%P}pnH7^ zdZ&;kAJpvhHEfof-7314u4}3!+cbxsq=HJO#Hds%H7LQ7pphzV859Z=(ki(+77CIO zQUz7Bk`6_sVV=?%@G|g9>VF8p1fT+N0XS$Fs+?yi0&g!kn44l*azQ9AWJ1m$R)9zY zUrYGXn@}`>OZ*1a5*NS>fYIRqgqIjgB!G1@3qrF*VPaNum^kz`VMe%2asU_;jY+a<6p;xLS4=7_7eFbm z$vDL9B8dndumwzgXcMNF_(!*axL8BTJGNAlm@bQj2>e%w5CM$(7%x>o@)j0Qk;N`r z^?fqVG)lnLK<|KS0?3=gOaX*1N;gNusPD>k86caOO|pQtsxr;nq<>eJD&PG+x-1#5 z+KO%LB17v#qbb}BCy5|K;N{~(vjl{kAne)9oESHkiFyk505!w3lK`U4u}a(fv*?8!EPl^f*uePC>z8LP6YbF^N#Mc zGm8i$&ZYnZD?issiAYkepfo9bE^9i#NyURGgQ7PI)2KG2Pq~dzOM0%lCy~MKL8RVs zmak5V9nTJtq<|S2DYQC$h=>SmuGGcsFr_4dfFJLZLPal01mF#vADE-AC{GZP0Sbnb zLRgvOyf%_03Jvsu&VeeGsex2T0TvCnqaoi4B_1e>@Pu&*hcvVBv)v=#ojHOur~K-xH^goP!NVm{3UVHpYPt5`~7R;03S*Nb3YrwiKTXivu_* z{$?`@+Wlo-GmwfSKmm#wv7Aw?RRM+-Zj%e!QlMUx{7V+dnv5Po6uX2tiqQ}eSoINe zf&lsm&j~-tvazsB&ykgO8_No41w+syfkF{DlnKr>AFhAJJ}L_^n&DBf1!+f*oGQ}~RHSn7xXq5x+U`%>V7*`Z%*{!wPkIUuRc8oeZJ^O<2%m{w$WVWOpoFoJ{77Yu2v zQ7{65LHZbB@E%bH%mS1NqEFgDSjA`12@o0-RsAcRM zba*sUk0~*MieNLy7nI3(2B9dRK{?A{FzHelR9c7ttN@9@ut}T)l0h54sO_(W-HSkd)q6jcr%b^_jEC`)JnN!0Ji-#MO zIVJEuHs}KaP1b|gx9s@p(1k978n*;qS|M-aVt^Oi5!5hcfE2(|WHtzL8tx71Bn(?F zrG?1BXS0m*9hd=QC|8uw8V2P_hChMh(1utw9l3=81BhN%D`+NkFH%l;ie3$2LIkh` zxT17UC^}1ktXJdTob@K$e#(liJWqQ*{7sn1Sv=XA7~;Z8iXcC zLMy7;m70#5HgANRsKpQ zWHprue@kQK0yxuT1zRkTh)2WH=!&5M23Bqo-pd!EEx|M?Q(O!X zTjZ4D6*1u{fZ7@*unX)Taguie>S_&ezDgGMUQ7bFnDWaR;Dq2U{31wn7z^oySI8Lv zi-2Gd7e+*OCO!+-0bRr@P`nBQzB*zMf)U3+*+O7o60k?i8cHK>4&)VOYHu@%K^1%p z4_hFDHh^@bf*{e67YZoqBFBWHf-9u-BA}RfXsnbSQ!B@SCqXUHLYNrLAjAl!fwRcD zaEb6hh#cUeCNW`>FG76;a3CotPlQK`3i*&az&-?#K<&BQtPnheei3rv@TNs@JoqJ` zu9Q|kf^z|30YqW~$mO&O&;xCg9zbs8Z9qDa!c1Z!R>}jDp-Dh15M5vtAPwUvEC6T$ z>7<~L+t4X^33+^R4(LXs;v)k1>N1$}q!)*e>`d9v25>fH-4N9F8~Z9_btrvUo3N0}Rj7V3r)C%9p4$#3Yf*vn*R&En(={n1yu7pB>ql=xC1!in1xY$X$PSc=aF&+GJS|`X3&QuVafz2Z=lL)4%38iG%Wz?aB@N+ zm_b<&MHDd`6+z;V7PL653?Oyr2Q?l8mAoT$g6n8z$PZrv^uro}m%%`F+Q=VLPCiyg z5C7rg!=ZCNtVrk&r6*pU41xd9tTBl2S#TJNDB!^8*509YqR>0pMGv1Hvy@~*802=6 zLA;B#PUa|X6kAg3Bu-^PYyzZCbR~3@r9Ky-8 zhouwe1Y|%7;I4?BTn1hP$Sht2>}1O@OUc3r4z2=jubEeiFoJk*fhy%uIs^HJ@D7D1 zkJ4r^Yc!hIeDC@q&5<1HN{s1 zFi{gr5%|5FgekZf2$y)p?PQ%|h6FB(RE3kzgv^7nr`F5-(E2D}=C$2MGN`%3$O6XI zZKOBMpV?H}LySjp!d4`H>H@bhm|ES$&XNU?$)G7P4`3n`AxB_9heL6OKts-nSUwRN z2*4VG4bg^hLpp`ukm-OxU>s5onN(o;a(-;OmC2{9yg{xwZCo#gPRR%=&$xjlaZnT| zUSbrASV0w`xGK8E*{QLehhQXe46GPrM=X>xS=HGV<1a$fd` z^iKbXGN2wR#K1^e1*8)rz@p^}NS1-Voe$7jw-k$F<*cd|{ZaMMK1L^a#r9PHiaGQb za2&)Bf&eoq%!61cB(N_0L`@F}2kL=oimk|9VWc>~yhKz{bcLTGegQC|+Clo6pP&Uy z0Ib_b2PFVFs2&tD;1FXFpCUA*2oPD+oD6;TiQ|wn4Y#FJKu|m+?V{X@LKPq(Z;+EB z&AJHokKi~IE)kzf>OwdMHY_M;F0hoel}`63^gpxe89k`)oYae@EUS#x@#1#C;Fq_V}_^}?N)DtYfLqy zpV)u+Cmt2U0||ky;isaY&^AH$)D{;F>H)(Agwa|>Q(OqL7Do+8fFhyRA|_RI@JLi? zh={30dm^|2$Czxm0$gL#3`50iNQ=?M7*Gs{)D}-!niaB=^`n6Z@LCvrdRi$}8sg&E zP*(>6yDw@zij0ni^bP^#oC8cuRZ+L}ENu##QsvBN0Tf(I$n>C!I)?(I4g{%_apI`e zi?$eg1tH4*jv|G)$UW^Ck2*dJor8!E$yhyMBf&_Bh#sVa!59x)@fDLQmbx5`LKSR? zEe%+9YxAgy&afeH!@MH4?pUz?>Wq=U5>$;DX3opI;YxnNt`mW7^jFCdlkC6f!rgn)TmxmP_fniE~mW( z-O~m7g=zE>=3CW;&VxW+{>pONk(bYUkc!U!)IY%swm>Gwxy#3+&c9 zJDF(=TxVz*d}I2cF)`1fn86KI2hBz%8K+?SCZ=oFMCU9MOO$j+nE-=x^Gl#rp=4!O zq(dW%N5VKX>ok^7AQ_?=Ta#^r36^W%2yA$e0D8vJfN2l&kUDTxe0`ocCq~iG)A14! zXV5iH8I6j)Mo3g`aRwwfi8`(b=0H57Zwe)ernq(jr(8}@32llf1qF&76_^q+294NJ z{35dwS&i!ODH5-AO-3|@AZ^M~r8WpWAz4TwQbul+k&%eYW;6uI0w`$sTndzcQKvwu za|&`Is>Lo3d=|vv9LU>3ZNd2^991Cz7BP@F(S+eUnOQ*8RE_|aJrgPj1n}@7pftGJ zG#DRn#5-v(a}&bF4RM5y+m3Bw8RLLw7$Wsx`EGx>M>&X9h*HFqXpd=!Zhjj9$(qVh zrl&BG19nU-6Dyu829zaKP%;oX65^r`+Qi79`*0D2Htn;sw&j8 zyT&2AMt~wx5gg)c1U4pvlsM|gf z5x^C%kW^%xzCAytMXkuB7Ken>@>JotloRt69X02m=RhXWHtFi!jEsxArSVg&uO8J* z;BaLK6aX@bWP=r;6NzmgbivVQ;_1>e8z*rsotF%o<5;_nHq+KGPl8#h+@gm`iUbMeT`VDX- z0??aSEb5U6Mr0&v zg2>2*3p3Kh(r{6E#I+DEL6Tk7Rcq0Z(V_E=vq)&4h%+QYa>W7#U`W#XP;$T&_8>jN zXK^L60&rsd59hId!!yjLq858iT?Mf`YzQy~7N{F((2?}4xlAs71tq{Hgs0G#%)5C# zE)IAItqw2a!IOYu*&w7Sl0C*c#D_=(03V0~2mxY*9IkZ*Aksba-e8`MyZDmeC>4RD zfnIU7Kr>cpGB~TNi`a(Ara%E)D(?)z zfxj&42vw;>WFcUSEXK6KKT!k;Vkc}p+H3z71TF{Km@tS1x#N)jcd4=XkI>eH9idpr&x zfD?ey!XwtqL45qBm>tlcD^;xGj&)3oIe97Rl)r=f7+b(e_L)23k!$9ZSiN}&A*4$A zfoOj?l`xemkK@DN1~4!&xKEfn*d&gn6%SVk2}SwNt$xccbJk)YHySk zvx-O#4AN4$$x6w{0sm5(2Wr&^j~z2ODMb8DYJIt&bk$ibo5izfRK`IhIX$`JTyy1) zMNxrQv~e(%)5Kt%r$A$XR`52m>39)f#*2sMVHriQps`3Px|I$keVd7;MVaNRQI;z_ z2bW4};10*E2{Qg{GgfG+^$ zAZS?*F)=C`)j2yGYE{p2lD1C_S`ID007Xlzy5$38jU(l5aWBYH_hB{V^`eX2P8dy~4GC7R56feb2s3lfAMNG-|li znX0}p=PV?R!jj1ISrS$vJd#ytFt?OL@zpZs`uXHGHUjxdG><$nS^32I$hDZv9yucp zV<6|OAa{&8KIe9oi~{b!O>v^L-=ZthcWRV3%dDeM;q z%}MGK%`Dk4QB zo4BQa3s2FeN%XrNzu% zf-HGf879SLWg{5XIE>g6#so^yFwK~(OWE7SQufxz9c|UXwbW_6iYR03pD^Oljl$cmYfu z@kB`g#TwDfev#D5v_ah9nB<7Y46li5>44NNJsN_U5x$XuEOM^pqEvZk8vR`B68%)w zfn#E&m;%%Qr$unGtqZgOnRv7C9(6EYJ+PE7;23S*BJW730m;ZUsic<%3dNCE7$XoB znwUk=x>B5&!8suX>{?bC_L(9kDTJ~kYxxCGtT-QMi&6lGklFI7xUd;?@EBi0BFxI3 z#UV?@MFXIx4oT6#%?msXlfQ>a;2I#5cf1{eH2j~0b8&X^$>(uhcmm&t++ z<%_ZFp}Yi9dVl6H(8M-HXwek1)s$xT$^?*aqG{!4qGl(s5!43l73N#DjWi`#MsP-$ zL1gkO`IG}btX;k505%$nh0Wd6QA#@(&r(8JqR`N7*kCw5X-jI=TeF20*EBT!ORg2F z8vf3MsLpuO)M{#@`Z@KUMNMP?9s>eIL%6dsLjG?M{B6-;BR{V5GmThW7q;ydIP}pOOCs^fJMzFNiys} z<8GQ*3JRB-)lDkY)Fi1{ouh720nUlNnJoaQY36~i(0U|VG*Vw6%h_xO$I#f&>&;sV znHISJ1s<|zG<=#m)&|3Fsv2G8ZV-lN-s#QsF)I+QtGfh%1Ze0OaF6(2?g%;}d53N5 zY)JrnZ(JchkQvITCi1dFS=GFTOf}P6| zY!w^S&A$~YpshoPvDh!z8L&n(ksJL{_{QH*2iHosXr%?PK{ zG0k~Mb2{c8$eO^Xe}x9fC_`-u^wAG;58F5l7lfOvjIy2@Zl;LnD z`s$hRp>QiBHXz*Y)h&)0Zw^JL5e&EGqLp=GenkGwo5_a>V*b?MdhMY2*;zd4Z z!?9yI#581DhfyQ zp)X}`r%No~L~*6r?Bs1mI8mCM$iYR;W1P5EBAh%Z5fObwiQ?(4K!!_Hk*$-~5QP&6 zrL&Ucl_+nD|jXT76V=5dfa=fI!YM=_n|F3PQ+~!4 z(B*g2BW3T|4=O5-jd7mxhX1nSv0?O|jfmhRaEoS*TEY%QU}2&NfMOB65B$+aB3I`- z>3ITo9Fq|>yAO_|ra8WpEs{}i9Qe*DfE4ADvZa`&Sb}7pa=0rQfi}{H0N@)(hH=2%^!@7pT*Sb{Z5g2h=? zn5^VH3bjc}DAFEcZBLW+L}-W&=PvCCi-W{22GiT|dLGi+nx6~<9~h4?f%SZO@^tK; zI7?^<(^G^tW`JrgOs1SvO)H~S^O9Bhl$|}u{GF&Cp~3G0Rhae^N5y$0ISI9&&%Tpf z6ZWKZVmTvfaU@C3;;Q1oaS2f(sgN>s10>JuQ{J;2A@cM--7}}GZ3oJ-d!}V-eQHo< znk*sDjJ(H^C3};5Qa>|S5-o)#wTcFbVqr#E_lt&#_D$G#&)Oubm zPJd1Sluaz+w)e)^tdSV`Nh!ZIS2RbWLlmUvNp$WIvz9c}84|T8ip-rPKs}xjwan-D z?E@N%5TS)gFqA~auOqK9c`5p|a#Ey9Iyv4^UPdj z9Tg49Dp1^-0Yj0sbZBx+AetcnV52?hjl+q^&6F@Gk&!Y7(%Hd26l5AV93^3n91{3s zqC&9JkHkzcO;`Y`ofN=ruMC)&_=1K(SAS)OfL_{TiQ<~XK)yBHGh2XMR^HQqXL(c< zDkX6-oE>fvXXpBv6q|g+K|5J`(67K$udBn^p`Zz=TxYeKUKyLvw zP;PQ9amBb=6eWgAwT2gs>qfQnrEq>w2vj=*|4y66!IC61a0{Qx`c_^84e zg$_cuHk=)MW5&l_p-l17@f#&b07F2$zf2QtU=OCFm{TwjBEZg9+CPcoscE;N+-xDT zQ<#0!nR=s-P7f+l^U*?{Ltg^dGtE;bgtLP{%Ljeq`kL#_tX3@48QOy@4NOB>p_{BNzywXYNEW!D ziCM0lr4u;A4s9KZcKCyJwIW{*CNEDFjbso75dR<~6QToAsgg{FVbJP&0gwQGXh5`V z83b-LkVBiI*#Ky0oKU63Xds1F>7k(+3YS7J07OF$DI&TN3(36z0GuMUCEB#o8ma?9 z0sPQzDmM`F3^bCjG^Du!(9o6uEs~ao4&(%o5S57%MA4zBp4~wo!tW?l8KV5?q*1O! zPn9dkxhSOwSSC8vdCQsqXTDBSLzp3;a3>T7k)0kQa;mAt*-;54a#~W*4|pZ9Co6E$ zL-P<&Oq+pFLnuoI=vaq46II+a6&r&s1scucR#$pQL@P#?vaCC&z=rIVapNi0(;Ay& zA8r`=hZ&O>-8G@^NCzdoS(q8DS4LmFbrM#}cvZ4&S-Almikrj=WW8L3B}K}?ZI5Ze z0?@ZCCFm776Pevqv=CYq)mwo8wg6%%K3}~;y?MrDL$C$VGN(~CrkXM?bNK4&t^XdD z00&Z25MX2X7*h{$cK%_^s+3bpW4~mSYS!#(T1_V+@*G=>von%d>_96eZ*)J^PNOje z32C&0)`3(z?NGDhAJcEQ)VVy(c5qPO(Q*sdM_v<#+ii>&1)jtMiqf!*?&YkK=b?O@t3`wK z5{KyWu+nfU6cK@xkEp^mmOXEd*IbZVeTFahGD#-a~q+f#*O@l!mdN1Yvy%#=jF zL|((V<0y*vAdWh}U5%0A657|iuPq7@LvfHCfH28P3i&#u(M8osV6fQaA0mF1+-nSB zNVjEW(np)XB>-24_>z9j{CkkXw&=g2)&{G9q<#JHU1$fmUnvy$#-%&Zyv9r}={cR~ z6cWp$K_P(^Cqru1P%mjmu_o1q+J&MOatfkNFv+5GOCcylDb7pcf{2Bx8=R6$MmRbc z$U>2u{~Unl=#jcar(x05=M1HaqSF#5G^da@o8VX}&~`ARY=p$*J%?ShhK?e=MuR$y z4bMp7hAGX<@PuHa5;9t)ct&X8J@HXgG!}H954e^XXBOGbMEJP4q(Plhv;w2_@8b&P z2!RzUrRyN739)n)Dq@V5+8ZrF)l%#bMdBXXEj^P~lTKaJqidV@ZUF%+&0a{Q&}gI+ z71B9r02407A8BkzcbBZ42`|(WnkHhpSf%P?ON+2!mjIPu@mSQ9{jNzGQbUT4=F#BR zGbwsC@Q~3`kK!4Nn$kBZDRx3frS!y97bw(`S<$jdKuF_7#Xas?y@;dO481q@c66c& zG&YxUl#lc0E9{_9ER0klwO9%UL+Vj<-N*nOr5Do~&Gu|i*TNI>81ZzXy3sOcYi$eA zHgQ9MO50gy&B)O(a^WcW!jno&>!G$XDo()^T&7M6>HJ8fjmQ+LX=lh5%3oaS*hDj< z1ktA{X`s^AW!x>wDY6s_gLB>$O0`oRJ>8i%0Hxv-qeR10C&~+Z0oddON_L^>nXM@( zV=;{uXeDVX3IL#}HjvKe3gU(}pBcRxd&^B_nxQAU! zaEjHG2WduqCvPwDjOx@Jq5Xi1!a>2KAr2Pxxe6nLHePXD9BGB9R~ znnhtTG#F&$n)!in<}(7D`HX4P^w!CNgeb6PXv8e>)1LB<;laC-czr zl{J4U(F*RwLr%2=oxwN-f8wC%)AQRK!(D{n>uVlSRXa5c+~fvqG^R1-?D$S_b@ERl zD#$Dg+F67~h8K@Ov(deR!qb)sCiquIp`{0!b8x2c}A?j^x*5v0g z;=xgAUVYY1${rn+VWCtE8U_ zS>Ht_HRf1)Iv4@wsHBRlj9>`~;QLc~{829`wK7R6t}-hp8O;%ae;%nd)QQfv;$VkP z`r3r}bVRBRj?DI}zh?}+qHf-Da5z$HsXdfyiVsbH;z@C*UCi~V$iVCv(Ci8MY)}b6 zqK{{VXSHhOY8U z(a`LL%rBtEyHSktN!t+{l>g$WbQXgZi1YXxO`p#+G+kQ5zLbkw)l-i$>IGqp7+% zspbYm=X6v{)&B8sot!Kk7}AbveN-Pxs^EuCX>Utvjbp9=2aVd-V{J$6@YCaNCYW$<@`E!MS`I< zMh370NbT5ftSOvpV z;4N8#p`Mw}G}2zwyt%E~y^KIa)5uOm^x_pZJQP~`wwOIq7!&GXV@LK#sUk<4Ra(F2 zC@n^2nzj+H-eWd%qEn40;3S|bC^L*+F*_>))K^+ob;xzX1kqVg(WG`b5DrFwfaTFx z6p9y0dK(txcA&ayczopSEbsC!b4S-DIVmSEM@O+@t;HrCTARn;QuKw>(nlBg*Z zJ918t5cgXAzdg0ve#;`HE3WXt`0!|Dn1ps$uFhqc(8z*WVAA@O{95KoXhQ$ zUhT(3LFSrZ4LI38bYqZPT|pu+2|^jP32K~&E$Sh|9~nb$BB2@C>s11Nu)JVKm3D7BTKu1bmmBY{2S?gnSB!`#i!V5;(i6rL4PJ=<0BDUxw@ zv+gUZ?(({)i`Fq$WiIWJD6F$)2wts{#){ck>9@L4?Fi*dx?4@sJkY1rDoS3NX;w*B z4GqOms-U7?^pML6Xlx37epI{*c}-&^tAVv{9-w6yl27Zld>RE?C#}BLnn#P& z0Ve%PNz`}r5M?}A>l&jy5Wl3!9R&RpZIf=RAuI`0&I+}%%|@+BpVZy8eQk2;zZ%oDi>P8*x9&%Avj+9&PiON8;djbw4Ml%|B<#=(U8R}uN zeO=3P%AsDSsAf+#c7?=<*8Z;aM5NiBH17Jhh`Z*s9xO=Ic16jE9m)g%N}V>i5oFXi z#(Ol@S2WRO&|R3FKc6kY;VCeOx73^hg3^ozJ5)`^6>f5lEW2hNY!&EM&lTkrC>4`( zhhaZ+aD`M%Yupuds*v#H^03O@u&hGQ z`J$2{3ueDugB?+qt|Hf^T{r5P>KOG0R#UTil8<7f!-$F#b@g13B+Hj**9V?3jEUMr zOa)nx_KlO*`)K7AJvw{M_`qM!r+SMwh7>h>F&euWSS-=(fMmqDDC=GiLcVfexzI&3XzcXrJ+P2OPVENRJ4x(Yxy)w z@!yFIgeB#A^E3*G6<`k6VMUD2me6r>&B26~0{bO2B9M1dfMfRu&50Odbk3e&yaoSm0^oax@PYd3q2(Z>s0a^g3O^Qz~z!SiPiS!&q z8iBa645xnRzRu+LYiiMKWQ-M(3y`d#ksF)7@DflXB1@RC(t!{I1(~4Kt(GPQ4&Dk2 zQn5ma@k9lLnqU#Kaf)bxo+vT%K%B~;03r^vyJRTUfq%v=Is!l;d$PJLw`p&&cEKlu z93FV+oSZv3#G@s133(Nsp?Y3ebdqx+>I3i4&~eJ9cHB-g*>h7*f`0}Y+B@_hO`oud z@)t%&a*@u2PF7s67+npHM9S#JBZ#nq-f?iB^A_jo&^7rpox6`sCj%F;(-I$+<;Yj& z*@E(M0tPwHc#%0$DSAWkN5=Y;rUpsA7@Y~`s`5}8i(*(`mSTb9HRI68}&a`t?=S`c&litZ6YzyF*R6%X7tR&_@>&J6Y!btQ; zqlpQ%PuG+^A&TJGrsn9M6r7+|^;*qRaI0yRB?Cj1kbz~IdrqjtM2k#4V=6UDSR4sQ zPNzwdUY3qT8*E2BQFa^LN%~9GX}M>rflzgXwiVWjlVABUzli*Dg4HZPs?7u#)f2@o zkZ5_DupxeYTLJ2tWCyX}l6eO%MSV)Pg{DM&=I#QmU{#2jToxlJ$E=ZO<+}Bu5YBXN zt#!LsS)5L=^nM&-sFU;%+Xy&UX-H^9A+o*7!X_X4$kCX}^}0$>O++}Iz_SlX_3R<_ z-}8#VW{rG;c4DJk+T$`MX^uEc8Eia@cT(qU;?g2%Lt;R-L3vD0x(I8JL_(|iBA=0R z-iA$D%?{QkLbLYc+>t^hb*~}2BaMcM*aE0Ynj`Q{Nud-iWXdwz;3?B={rs|zr`g#J z#4(%kshP}yph&L{mE-y^f)O?f$`o_{UfQr(%3SnC%ZN>M{sxb<<5+{u9U^t~V|>gY z#5|>cnvYIL=)eZ6Bx6#wW-9xQIgDy8{a6+-uBwGNwBgy&KwnB2q3Zc##mX|ZIo3YJ z9V-uOfpiLqcvQ5mAlqZr?m$y!fQ|C4D1NuGbf1z8T1u|L@^J*(m0Z{L+m2H^WR45W ziVQj~G0yR$d=?~yyz`|NS{)5PyO>nMNScZpIu=Y~^NMDtC9T*-2ZFN1iaBcSvb4Tl z5-`@J(m5(iErHqtbcsCdLXyz6r-jAV4h)1dqzHZYdba5@WT%#S@ zZPPTfBjc*5q=3Tcc_lxG3BTg}h;H55fNGth|JsQT+UthFA{?ehpJB}@i~k`Oq&UmpF?`TiZrU&qVwMb6 zH}NiA#AZMP+T^UND&IhdRRz5#r<4T?=mlS_vbf>RtRiU9$V#2mxiPJdfrgO|?H zidpRl=@y@4!Z286zQhYabLmr9mmUw=41z5$3MrL?(=JlZhAJwQS3|E0jT0v^7PVob z;XbLWRR-ZP6)QifQR#vwT{q=2?c@!O69NC-o-wEZpBY_85gW#k(tATYas;wc|wo}VNfm2$E z7w4>!Wg-j!Vp9?ig#AIt@CRbB!Xl_rbgSpR#%VZZIE$Da3mIqmF`uHGS1XsppJYccrD|Diu;twQoOFhu9*|D zXrgblfQEHMfOqPjONy4%8kb&Cbx_+ZIqjlsuiI>X5rX2QF|#UWiZ(tK(g8onk5oGl zCDOV?p3c)(%csu@WNe7a{e2j>?wbr{l>hF`-bGXgADy9B3K~EVHtdfA@sO9culnyCwrz36~X9?P! zns`o4D6^G4Sd(n5yvU6>tC=Q#k^)DQY-B(j`DPrNifNgm)XqBTo3@IJ-~vrVO%xS7 zE=*8{7Pi#JG}a1fcUvi=Vyeq1S-|Yz6j<-_v?RVTPsEnmikzK4wm~0q8L6%V7xyp+ z{n#CRDn1puD%+<6^=aoRUD^JT*0sxsp-H<)Q(>53)IN`-tv{&{r#PmFgo8RkB1!9L z&n&bQy9iEVq)=q4a3o&@Sm{F56X(Mu6$~|O$wyzOTv{zHy)vj<8kKYdDl-^2#4Ppp z*?m;#wrG30Kf95^$`)ue-}~y!bN7{ipaI)?Rq=GJbw=nL8oKF6m16_;1qoKbBlSrK zCYT8sEvGA+7W#5))CVyq+>Nm zfU;K*FA5_L7RaAAE894n5X!K=y+(1ejuYj1Rw)yY;+yuoUV_71R5063YhFGfKzR4wvCD%sUq04+7+Sj z7+ghGqpnmn%|WNziu15`PrI<$2oSkOG;`d|h21~{Ef$BA21+AjJMGhA6pnVZ)`-u? zb9Y!5Jvr@CcXhF|kH?2I_Z*23O!g0Md6Dvpkmmv)Y48!u}j*2}latua5S^&s7`jGkM2?MuZ7R zAL^CR>a?{cO6D4$lZ$i9cmScy{$(eVvRHP{v#4(9_rM{O}w6(PD!@kitvP8~dSbsWZ6T z`jRisC^262;{G3@708-5D(G!h8|HD<7BeGMd5X7ztwH}}trQXJWCFMuT`{NHl{4E@ zu_@(f5_ln-NzLSmSW(f~KD~6WP#LL(50caud;3h_(q6Me!MIxljO7z612oavo#sJ| zEM{38>GwFm9Y;(gW3K)vE*(Jd?^4lF#pY80oIjt4t@n?Zlb@0y`6(u>vmHS%*ULJa z-TcJDDtk$M33-%xF<@(ftpHM_4NZ`X2U?Q% zPIM4-91SU$3WTDJltU0n8sGx1x+@K`rc-k-5`bBj>eBd*qonh7=x$vW78BnGPuj528#r9TCVB;o?i6AjNa`q|@taA) z&AhZhosi$M?%TqN$Qpt{#WHpYjpL#aqdJd>XR3CLZhDq8DxhtiS1!x<3^_2Pm$I_0 zxFTjYhD1rUHm1Jh4@;Ts?V-xn+BnKB?!I#@xDbYllpCawruLR#DVK`|YNgB!izA!- zeq(JCMb_!2i#896Zt5L87>B0C*I=l|W+OrOg-Bt{u0N0rD(QEYwzhnA1?l_XIb}3) zX>7(HxUbv_h?u@xhA2a% z?8U*W?8ORV5MPW}5)5=Fx2@Y;9bTgzFJy217T%Rc-9yA+*aBT(vg}YNL-6y0&EEN< zwecGy@b8onO#dYWt2CyAF1P$58%yRoymgcKylyVN%0I9qA`=@pDVlIaI~UZbc##Vr z2S5wvhG;E_kgx%6$k3}5lSzG$QBTzf+;=~i<5YW5|G!W!$Sb*VSoimd}iH;P;bfkVQ zLbpe+(9Ul~)wVKu@&?w9-e%J?n%?0{R1pyg{^m{&ITOAb@SPO0@~)e=YAp_67U3`& z0?m;JC%t;095tkqjhkDn&g>G5lG)BFa;SA6@=WknjIqqU_J5pyaOf|GBSrUUWENGl)w06X5j5?G1 z6$o3J#&nfY>X)Bupz0EUl@WUm!_~@xaC!w=6v?R+Wq5tRmtnfjz!hIwhLv=BejR#8 zKfzGFtNZI&LdWQBQbz*E*$%R|EQa(lVfZK_LU0@wfEySLIt{MuF29){sD;y88iwW|gwm@=GS{Ig2>ViD}#*-}Bx(8Uz02LfhBOG%UOF#7CZ z(GewcHeyjUSPAaYCqu9X%j?frZQN&0p`&m#c<5>@l?^k9fx`blg%5j8?jozBdeluG z#6gPS?~?Z-CU>#BsEB6~$kCXV9x{UH4GvAzJx~BxVb@TZ0&J2C)tQKZ=Qcv6zl%m9 z1<(!#2;V$Dr1_PSBSLsdOim%x;z`RC;D~fE)6vYUbNvt#CYHjpO-2C^AP!_@y?y?I zAxo~xeIf{;988xYSNwTi!chD&(c7miN?Zt2qxEKB#rNTDMI~pq;W@JK6w1sIm6S&l zsn;oEUBUuvQ1@{VDRtakxOo6M%<3-L25%)@E%1)`lVLr2oD?2wa)Z^DM$*DXSB9mU zRgLtw79_q8u9#Fr?7ne_{@8`t)%7~01|8qixd}?6HF!Ocljv_#O$#4 zFhsb1AQ!ecj;okvV>5{sEw`(47gO%m>dM2p23+xnMe}B6*Z~;h{te9B^qlHoJG_!p z#kPJQ4TrelW7$X*+ca9R+*>veqM7!)O_>=NRmxGJh>94fv5Q5k z1^RYn=fBxW+}Yx*1!uEGh#5-Nx8+NY#E>M;Ib+E|^Y zq5V1;@p?%rp08NTqfF5ttmR>VNPCSl&ahFbe#u+qf5pd+sP#8qYl>{?1-Xdgy2sdI zdx`d#+eNgb8arhIgxa%MVCq+Z#!nH-ivi_DQ!YO-_!@E0?+Ru!^tNdGX+L6NEAB4Q zS(S+Ms@;Uba$8--W{tA*kQ;#UHKntoFqPC_#%e4SwgMw7Iba%t+{R=~-|7Da^M%rQ zxPKK<#pPlz9356l_M`H#c#5=GTIX46;)@wWimj4g<9NgdETOs&3@}22bG>dE67J1I zo%iNVtjODDW*=djF=m2LTmy3z-N87JQ@aKhhm~^|jAhDz%Z6a5R?ZpTrz5lk!^yU! z9%a2GaxabcE_$%wyY7IaMbcXx53J#RLXK4AP$b}B_2BIzoa6v&G-DpaK6I!4(-tt< z_U7@xZ4bkYz&je?%4nGa4rb>X7}Hk_$m#|h7-MW^w+EwV8Gp{3CS3d%pxDy_Qc~4m z6DzUisx?U7@Rb9Qx1`*K=|v-PRx@ zGuQ=ea4keQgI5huY2mIzwp?$ewUZ#3*duzC z%`!IKotlCA!K?*;Yt5tLv>`GA-8<*8Ni$Yv6DGLgVlZ&#yt1x+uWn*WGbJnaM8B)# zHIs7lm%PT%w+KytKBUxEttXeAdp!ogLQM=A%rb1U2|1gr8HKQZl1pe{u$r-huj!i^ zMzSUK8n@VED4BKU<~PZ~`_6;7$ZY|9+prSM1pHVyo>bw;+hb$$O0LWT{TL?q#*V{a z8)1%ENy{{6k>BQ$hr)x@0Ph`njALXn8e@&YL|BHxE08zpqCF1n2=+8)L76l1oRE7N z889A43s`jZ-UE=11}ZEoh7?~)XB9>Y%FZ}Bb(%s3jEa8S5Fao;&i0hr@=X@smi=l= zFSz}N%2?+lELCCl%KQ|u&l{{L3${C7bc11z^Im1$HCIR3iR|{R7-x+y#*&C{3dgt) zYo{O&8;#Gyu^-Ou^SE$G@Vn{Sg-gdXH`Lfdr6Q)1;%=NFN5@lP(XSnH&$x!0RlMdj zDIy)Vo+M(;hjDnE936jsAo%#t9L$ZwL7BnP%M5Q*WWT- zxwl2nr5!b$Mhhwceb>>hhIcg;|5bvL$XDYfvZumcv`oHvtbUz<99N_xF^*d%iwL1I z)Bwei<$EUy%=-AX(XH-oA;lq1OCtU?iu#nP3*J&{3M?TU!#^3m95JRUzk%V2npZh+ zMwOPr{_Qu-xdb$>2BPByqhtojxvVBu54tp8*_$VA7L=<^tP(D?M4aCv;zJG3#A>ix zy^~87qB582IxP@7E93f%7Z+sTz+4%k&T*x}UB?d2`iqC0-9{hT_vY&qqYj9JWt=*d znHc!$pUEZ|klZ9|h?VRpHtXg|yD9Oez9V78-%&YJ3nK zjfp>LXcmhT+DP<=wnFPMe_LMwF|;XKM)Zit5!*I-l4Vb0W(~7}s3?kM_Jze~a>i`V z?;VG$tkj>9V>|%CqOY|$6Xz4lOj*35jRpXmD#!RKO3u=eI2*n`SZr}V(4%GItP#^9sxF7X5K(7#D-6<)zyY4Ymtkzi=_fXjmN(eVS1T{^@$2Kp2+pfY*K(TmhEA+ zk4&UU1M`@_Ac2oag}n8sil3oK=;rv7{9;)%HyBZz1fn^U&KyYnt}@dY(!IE?WH2An zTEiqW!mq}W`P*etq;ic^VI5_aDuWr9wyXO2vU6=_-(c8I&P>7FKj9tt%$5{vfuI@L znQJURJDORYqho^C{6-><-eGX=#Ca9fVv{$(Z*mD zbLS2s#l)0RPLwXD{z!5eyKgO!VHR4a5z(MH6l41PzY5m7;irg`&l?IF_ zfvmtB6Md>+Rao2$R-_6BEBD_(XY;$@E>Eynp$7)sNyx@x@-nnB9@sJv?ozsbA_`*C zS(el=Vux#F9y}|cyISYDUQ#{9F2=UVi%GO3!=^Md@Z8LUWcO4#N^&ZuTnVSL1o{Z= z1jx=kRYIsj$jX8IoK?BZHtzLD$hcXulpWHVX2J0eDk0{)|{OH zJI8PacoBG+&Wr@vC1wq&Q4l~hM&dYVWg*pF6=;!HHy7+S^O~xuY>t=Mtcls8G8&{! z%E+Sha%AG1iV6j3Jd`_w5r8Q=R6|?|;p3RE`5Vi|0u1JGcZ$HXaaZvq4?2r(S3sh> zs5?|X0qzeNCaOuNP-S(B^vYVa2O4f1awYK8A*OvdMQ_%MR=GNni=#j@Ycq^J`KIjjPwB~r}iH9c|nodDaIt&Ig;44$kcXz~%r*rPUe($! zb*9r~8-Bi0Qpggq;bN}!6%LAh?I3M0q0v_;i!}bz?pdi#DpP0vuMA&(kKe1X3?_0i zn#pp;!rwETHC7{h`>bi8)aH6C6)u@!jjuT(Zsgoheu-J0STr2^9L<$}W3a&z5hqRa zo2RRZG^TZ`+OEJjy?=Q^cF$h(<6;bTFj!NV1OGgJVc+7O>~jn0V?x`R7@3dV zf<|(tzlbq|=5ljFxdXo8DiV@>8Bb)!wt%rvkBh@D1XWY3B+Q{wbfCZi$n$7`2L^}6 zC@UeNucW0R95HPA8Vx#a34kN+5KNQBv7L!;gjSdt$8gz3M`?;gftEKY-(k@Drvkl= z3QMe9b=Jb(m4n5g{w6kP-72~H*cDxMO)sN^kuZxZ1;#inGe1DQYU&b0;moft5U*O~ zr`29QqbC~=Io2X~0(1)4#J@_SEoVAKSmhAG!x%{JYYA`_BwQb;!)-|D};Yf4TuntF}g0tSoqYGCQ5Y) zijxXqN-nLcnnQNSD+W!(pIlWs6s zg8e9@Tq-E<7i)m?B`%vO0M)nZ!ElYSg;{cHG}M4_3fxap>MB=VgXBrvT9xjsrwrm3 zMPlq!8Y0SQC2UebMovu!^;69U1%K&L+YGDf--!SST20D*qynnT)F_86KFW5~tdr5oS=NLzJ=GFDn_6{^})F4gZ`whV-=QF@FryKGo4Y2&61W^*X0U_GW9D@LlG?^MJb*X zjZeb0HQ7R4Pb7dft#LE54iJgdc52I|jx-l0atauM+9L6`6?;pr&6=za6Q^WI#+2zH zSJ;I{TB_|mN>S-T1lBS!w62dHQs#INSd;bQ4_JX=>GJY-$88=7oK3!F#i>+*%DUvq0HU!02^*=Re^=mmU6dl=dE3koyQl`2^S zGBKJcYf+p{b;qRVG*C7?T`5J|BjRL(967@dVcslsCeqZ@b`#zV=EaZ+e z1eQl3LV>OY;6$r06{pQ{@^^c4J|enO#@NE^-cA@~;`$)#QQxVvw#b~9;-6-><>Wn< zu&_x^$I8Zp<@!=fohe-te?6+w=)TVJ`fXHZxvc7U1g*f!=~Vssbp6FPsc_I-*Z#X! zMO+tkfNqL87=i!2C1^i3K9fU@20>%>O^%hlR5^@;RfZd!&QXu14^ys&TG^dZYD> z11ABH13}I>!;lldu)jtsPAZ|-{U&U~5Szvr#!2@Ouum`F!V`ix+ei_gpiIpm-T-0% z(iTtv7FB+M+<*Yy3&jrzf+Gq&z%F{p1i$5ACf zKwDP^Tp>AxrDtf7*>*_!F%m~{WYJk8$wGT{69fqu01n1hk%f(j+lk2-Fe3|C3j_#U zD|&)#!Jz5TzQ$YzV5?i|&*uu%OMSI2bZorLIjX zwm_Qo>-vIn;AQnbA==hSLTDt9*9*Dvneoyq8=iA)%Ca#B;E5`GT`QSkvx4QtZ$-v64!JJ)}f_XxJZQ@&Nr)Q1QOQi~}@4QM{Rdh4ER=33_TXR=c zg&1dFHaIo$B|)u~1q`-%$AZ)~3wA7}Tm51~QVZJvW^FZ&>~;O!ytJyR>poEmd~$g+ zn6u^O$k>-s*Y~pdPx02DW4C2(TeiJn*K3kZ9E8Qyx-n({!c+u$PMu=PdU^pYb`6bF zI>tn&?sb@T#moBO`V4b-H5=T$0&O_exE!hR zB01||Lzg%)H+t8G0AC|_M+jQE?``xhdhffp=TJ_LyFivrU324Fajx=DgJu_XsT!h) zGBU4a+Rp1LCz#r3NdSXlXDpVg7fL(#u5qdS zfEUCcKvDhyo5-#JGO`i5K0puvBLV~_r9)w~MHmoZyC6*xUWX1b25S6V4)RRY(lMd37E53HT6R1e1b-f{Or)kUN-kt2CnB zF75HBqg&Gr>0VxvtOYG+>;tt>TkT~GrDWHU*Vd~qx3<@sXodwqLoF<@xsGG0&X#B! zR8>K3AaqyGKUu(^w#W_D1sg9uLj}4($ttOy>?$#!sWntEaSX`kxHVSVzKMdSl6w2T zI`_*-lk2(F2uut-!jnjBl^7gvw+VVDs8-jG#stdUWp6Z(Q(kCw{xoQxaoRw0c>gN4SOSPvwM zGZk6b0<8N`)nHXU60p5D4>ABf>kB{!ECbw5?^V$ZU1sX0o>d0|j`*gCqlHRFY*-%> z8!mXTP4LDdW)ZE|HBO7V=I}9Qr>K|?X~ISN%*>kAZ_T@! z!l+Ux7&_2^kdcjPZF|=oxD$DApN}iZcFp86d4FR^bK^x`46TprT}|j&gcj?cz-%|` zGaJY(h~7xxzSG{f&#-qY8j)6MJzrk0ldcQu2P2r<^*!zG>d+aSDpUds6Le`zhk52O zXr5uhf=3=j?Ymai)6@XNMIkGfP|Ww_y7r|PHftulvDY&rQcJP*WqhO zYH6r^ZIN?rx7N*P`lHImnp;`mq{_ zpXxaQ+$Z7&^%ctX&9}~gg0Yd?*X#8wbK6Jb+x&zm&uLhxo*QrvfG7- z?e@5u@1VC^8EfniGQ&eRLztqfkd}>AsWO zSIH51n1mwVo!R-nL3uYYjh-I*4jK9U+ z^IZroYiLKkSEyM?f>71m)1oijcti=Yu}sj8U2G-Y%BJo3lQsDm_+p&$6myD5Z>l#G z-CxvYk1WoSkl~z*|GUczkVW%kl|HsTLjwpObFAWYu?YsM~^D88i#O8QH?i zz~#~p$qxuA=H8i9b|>k5+0vNt|0R<`znabcAtpHCMPib}2SyX69NH;m43P^>b@ zWpLFH)tH8&tpQ#>ftK#qYlle8tZS-xrXef?Y&nkPXy6ENwWMjqNO;l&7J;h_CuKh& z47hhb`=-E5Eygp#@>HUCoETizfYq)(_{`(-0h&{O6n=is|-U6$XgEwjqcq?&uk-Y*0{{9w$qr;1lB~!AX|gWG&3aujo*`}X91VwX1sW}q_RNsZS||ESk4p-yG+yOO{abk#Rhg31O`YHm z0Gz)fjG(a;#LupyM%^O~G@yd_i+jkAS8T5z(w_~3zd-M$ViRgbRqV=(_HnEpa1j%&q|QC?hBOn#zto0tn^D#gDvmU{ z#sJ0z1g=urOwbj z*am7XvnXM)A`FsWF)BK=S(v~Wl%kUq*e%DA+z=gHVWcpa9c!6DNuqsAK-BBI$y;27 ziD5l0g565sd_pBRQ}>-KomGntRddn_?yrt%@+?H`!Aujap)DAKcf-D6>Iys<@Cu{; zbZ9i`BM!hKv5`njxNAqo+m7eQ(9>D`_wngc-)!KcQ4%%_CGpl^*@D<)WfQjYdt6EX0S$2)w*BPvyTNXRAu2GMg zJQKQxIP#K(x4Cf+W4HaeE;iH|miuJRLE;KCRjZ=138#&0M=rLqHmOEAN2*C?bCZWF zEhOccCW)4~oGa@GMUz*)*5nx;%fto66+yQZk`PI9Cs1)@C~_55p6IG344rp4TVEW; zGl(Qc%$OmGO%Neg>=9e+z4zX+N0uFlQAM>{9q6Jhtqy9GqC@whMu(QFqFS`oUw{6) zq9qfE~oCwhr>cJ!AV#U+oJpd7y=`zbk`3tV-`J-uzzh zsAx7!9?~(Y z5FX!k#jg?jb*RT_k7hH58h&{+-SF?rYg;OE|Fre7Gxf?#m!J0p`Q3QF->ju%vc8LC zIJ8LUb`*~W;T_F+lmcygY%%pZnJyo%AJm40BvG}A-&`#nzwo51Lpc{ zZ1DwtyN$Cf)%Ri`R`!^)5;|N|?WH^!e6*=={k(>@y>^I$2gRVE0m_K#c(wn-64YKa z@xy^ab(e)WT(6{Kh>EQP{XixyQo*gNWnh9B`fK)Kr>JOCxssTK(}8 zsEj|MBDFVqCS;_Tce+lO%}sMd31ME9Kdh6aTbssl)&wXKBku9cs%tjO^_4Hn-Ze*Q8o)}E4 z-S=H=_mZIzFrNRIpDMt~+vM*j4>OvixJaO4v=pP@1R=4f`d-9xZ@w7+&r#WOY^rvV zh~6Mz<&zOD?x7J+M&6Cr#j?rmJumcdyp_THCKrD~otwWHM0K3p+$;+mp66EmR$a;|1Y_fAG+!=MFnI2>=_20h(XB5}w_6p>E*pG4{j)kF zgV!M0^LD!XJcC5Majxy`(6U;H`j46x{ixF?PM$w^FYHTJhqg``14KrhIR3|g*RnN8 zHN*_3^)~Umq1*nWb+d~XeYQ>-`h7k&_u|=H$>S%uBNfYUtl|#e;r^St^WC+3>;#|J z0My(}|6hY!Q*xwFl&PtJJA#ZtxfNr?Dy}YQbUiQc4 zc9aS48E5d|PZCavw|ez4^4`ebqXFk;ewU_tPEFPXXy2?cAd~)xvJVgYsGSaU!m*3m z?~J*Zr6f;S#8Kd)eL4EE0VhORA!F)KpZ^h?^wLN$ZDwX(ezfMqUpNs0o6l0*uz9X< ztB~3ldEe;#sweu6%I8;eCg&%!oROBimpW%p9Ub^4`D8gqULc$o4Y3A0zM`Lb+gb^h z;4e6J@CQQ{e-&9jwJPeJvpanw31O!J{kWu4D57f$e4&FlBW64;coI&CWG5)B4TExBR~k?Ol(>Y?!9{#l@}wtj?%;(4 zFE!^cb_G#YhZ|6hL$Q3@Z(ql~zrJ{qY3REvdv{*9>P=Oy^p7r&wveR1!i&rI7K(5j zh*8ppE^EPTzAx;wio!dbKE<)BXJ+47Aj+vVc;4Z1T*tAyoLK9Aui2pf&&c>Pp5g|$ ziOH`EANBR!b4K0$=O?j)=BGQdYw0yP%^oe%hdO@(HfXbJ!ioUx%jf@2%bQzY2vhF7 zgF(tWX{YI1n%wB?nw7pa?5R^AE2D}`&{>=L`b}~w!=>=@-y^DV8&0jSB8cLP$G+b< zdo8>kdkQm$3PtWXObC^0{7n2Or~I-eT*u33?Zx|%*cSK7M`OT|)Ev8fU9nR~&H){l zr!6AZb>CmIbDJr##Ws`pHol&-zaM41EaK(Y-**4e%DVr>k)$Yg~tuZFPb)|+w zMzdeRyY{2$iqEbNozY`6MQ-6UZrUfA0byyDu$MP`OP|gY=}jU|VraQ(kd)C9(ew`O zI~bLaCPu)15*rSC^6jPoR{m-WE%mgs^<9l3wUXVoZ`#Xein^ju!?UC7noQqgG3bYn zb18QJ%}Z{`!D_GOHn?-bhDG@fUk6FKo*&m;^PHj2kEEWOv2UXGlWHLl_g`7k({qiB z?{XXp$IOhyqHoQ)-}qhd9ByfAT}0>90|rzB4lRg2O(nG!Xz1}TR_mQD)m)Uw{MlWA zU2eC!Vk)owZPRWFaCZK&gTm(JJb!X+ilOi?@3(*KiQf06Zzrt#kFH)29bBzVJF8ph z{@BF5(Lf#N+x*12fz4MxvcA()O1iYeW$|bGc04MZX^7M&y)OL90mM}#JUZ3c_eS;; z#$F4#N>r;UIlEqi+TTrafBnlJ(|%Ir3VuE(EiK_{%$H_sL!US5Fd`L`z(mJ zuS*8$1$cW*@O}N}BZB`j;xP1%OgVk*K~i+{(yh}S=dJ_qnqtLIKu(y{jm`lQH%qEy zEd_7KS%vs$j`X$nReWL_Kuqu4^qA}EHpsTu*t^>o?723YD~*YnRgZa^+as2Bw9f7? z=omUc1>9v1N^nsr;=wHXs@%1xwr=`Q_WWcF-}U#;koS~d!X7`M%=sAOESB&shqDZd zWMp^FN6b`kCOT#4w>L-cpIVlbeN#y>~TS z)ICg4Bp9slv&PW@m2`6RM5VX{K@iYD`)zifEGj&zJR(NedPR?X;ci8lzLN+=i-iKv zjBL8i+OD-HZD<7e4N53mY8n=rExZ!Y4d}^(HB|q*cP94Y_O8AcK7+TsQ0%1OK6+eAuO}8%8mMVaJ>m&UZ**P=+7Q zdA>)NntSNHYBIHNy7BYK6G89wj;idYbG}g*KvSnwdk&d7c`iRMI&-GdGe=G@8fA~K zsfNEoNVmsJF97%W7>pw{yVL$xwRnzBF0Uihhx>~B+fH5ycSnT)k;QR9EG@9zayTnzHcd>S1b>gyc5-#?Th)lMX%&bf0V5ZDtKJ zQaS0lmZ3%qc!xG;)$km52t=!1l2yY$mYm^hfcuU*gjT7&(DluKII*>~SMlJ2S5?e~ zr-AQ6wYM8vRy+RNgzh*_MXa7mwZoj4HcjcMC9Ia`Ob>g{{7^nW__xV#r z&)PSd{-z%?KCxJS>Ms1%Wx=p1DlksOpN0dJEQ}dX+X5dum|{pYdB7cJzOa+PK#cq>l2@_VtSraD;bL>0wb%EvmhHFZ=y_JQN{(vFXOZFjnm;;Og??Ej zE<|tl3Kz65bOk78rQxIvka+A}`#xrCZyqF_#xYjN3+-E3Dg5yx0$p75vf&}uSbhHF zXYG`Q0&zQ-Yk1b{5s! zQC-%(%M?%`0i-v&%g~0Bk!SECBIHW&oI)n@5)zG-!>jV>x^%+*<3*>uTbC?&TJ4?y zD${?zH=OdOS(wMX0jatSjF?7-Old*MJ5WB5u$8SXY(z}A`ab2 zkC=czpv8Oi=2GFr#$)N%eIq)t95E1S-Ui(Y51#`XV#;Li`eL!GKaJ4iBq1gK4ar7p z$JX?OlO{K;st@zXBbYw&RX*7%Ek}4zeG&2gzKy{11$Z-^sH1-M`uE;u2wl6q{qCj{ z1!r*eV?m_vGumi%-Ni>5n*znWEMJ20hYx`q3r8?KN*fMPqC+Q()WJLjmgFE0{+_suFs*@Oef<;^Qs03WF`nNA1%WIVa_x-g^st8UM%x5hbg z@0Hyeqfq@FfzvmqzhWxVwX!iMAf9hKFAt9t0n#sh$T=U)dzUoUL#JB}c^~I90L%KJ@Ya#wok|b)(s>5=uuDMzxMlql2)Ht%QJi( z=X)0(nVnw+c9h<*d=Pde@WJn|onIz&#)i&mUb@;Xv>$(Tp9hpfJ4?a4z@2sjv}whh zo{h*Wd7d6@72e7MIqw;H&wRz(3kYGP0EliqW@+iSc%fHPe0J`n(}nrs zm#3~SoA}QK-ipxqT{bin?HUCiR9)iD2`-OhqDI$mFMcp7J%@vN{`8{DBTp@8JeCy} zY5C&C`xdKb+P;ALb-^{|eafiH3POHN2CZQUSt;lyU%Ps^BM2u%rcikCHZ`wAx6$jL zt!l#wy!=2pyi;a`{{Ba|)R=wj#a>UI4+=Zin;DGJ|MJ7s@^lZayMnuc>kN_d-IIX; z%M(CT`SCF>^w@tZ8RV9QD5b+j^OTwSz5f7FBfnpR$!gy>uHJQVKr?u6mCjB)X;JW^ z?T@;S{2gfp-ci-j?BIXs<|M&1laFxx5Ivn74vx=Emp1Q%4Hq1fQ$Q#Qux{sk6T?fzfgFnZjxmE zBRp~`Bt6MdLSN*E=JQ?e<|`2u#WZQW@U!u&e58j0QzNWq~B^^$GaUpC( zPHpFRU3Za}&+N^EJgHSCjb{y407l9}$fZcy<|DCle6_`|1g$p)(nRy*(eESBoxtg_ zltudyov)aqU;OspArcch4;wTsb*+beGW1f>I%Gr((?`En9nL#@#nL>>RfX{nN+?CI z&g~SVi;8(Iy-u10{#}^e-+l4(Bpo>X#g2S`Cop+A>>l7ny^Ps64XY)mNYGaR&xqa; zo?-MbS=XrY#+|L+KW2YS2ylR{RTSa#t+k7wMizH6_6eISzv6wKmNS%Dms$i3oj}+) zEZ<-F?}xwySYZ8jL3jbwQYwFM>*3$5+YTorh_>B#kB@)e2vtlnkNsG-{9zG^+{65x z+!q3N3{UB(_WL1_peue2oo#PLeC3}0do40}vSOc1bia)T`PaPd z?D;E(X!Io#A6p#GMEPHGS+1)Iz5UP~L)%;wRNA)Rd-nzR?1aU>HF0y(e*4zf;V;>8 z30nr#1M?ZTcVF((@B9}X3U?68en0#KWdC-SNIVXIvSQ-|0M0q*`@c9>!s`V+U~QqqNuXY#G^ zgt75qV$(i;^ZxD-x%XT5zj5;fb}C3v~Ucp zV>fN_#p+(kSs1K*kvlU!+MmK*RV#Wn1s@iAJf%AK^MEQcAm82S-1{X zX1nRo(+@`=bs78PMXzm0)~@b3{#`u^Vbh$a{14TmZaT^1{`LM-TAR54CT8<8t1HV2 zcoMV#E|OFPjJmT*w0M50C=<8Dy;3AWuLYWcT7Xz<*K}|7oP-=rXRm4(;k>0>3zaPN z8|1pWo0~*r7-~FV(sKpbCY)gUC_~I(QDXEUs48847rD!CZc?oPr45SD#m@=l=Ip8P zC~m8-sdxhP^|fhIwg~BXUL)Fs$#3l~l^BRItqb$dIpE+NFQ0qtKym^?&6#6PAq-e= zku3wQ4%%I_90w-{N&!ov{4EBb|iS%w^zuT_S=S!W=?yeVhZZKKH*d?roJK8h}9^i08f|xpN zWf(h$PJk!DUlXI%H`RAbTyxJV9#WYTPw_m(``JYU@I-4>$h~+yCNk!YUa^4%5sGmZ zj6qf>2bv{1et=%*4+A!lgMw|%=J`otQPLCMuMGp?K9&xkn35Pzd;A9Ew^xOawLvDS z(4{AFDL!8KQv$De4$K4Ut9CMMRVWi0q~HOq6H7{-hUWk^1XNT|#TJGfYND2ee+Y_> zxWx0_cTF!#eS*dkgOXa@Ol7Ij4^4ADSA`}+R)sD^N5oMP)L1YjKjM_@CF2XU6r%GT z5J>9CEehT-e3TWPv>hjrb`oBpUuUH2x2AVoV1l+DK!(j5OKN3DU5W`WDV9vidkt+5 zNuY*+^zF+fwq0-OfDP{uR&rW=f%IDm*(oP-ZztR^F%ysh?L@!S6(V;jWB9beag=H@ zj|`ror_r1GS*d33`wOf<3HqhnlYpF31T=~qj>{gx^Zl?L0C|tmm2+d9L9iYI%@`vjHN#i zj5oV#axbRAVkNr`+G}iWkZPtC>x_Ejn}mOa@Jw`wq=(i(K5M4i*np4e-Xw*KM#bsm zOA5Ga4<%wu(&*O+f&_i~sLm#FP6A`}-0*=uD~b|$C(;Ucn}5Mrl28{dL%63KR(jlU z-aydHL{*&7PR~_7L7z#CDl*8(po0yA>0m)mGY&SAe!~e9AL!>Fm`;zU+otv@OBwIv zPzZg|rUkqQXr}KNe}cE1Ai-Hj3&4r7z{SVhQg)<< zTn0;L7a52-OzZUaRyQVb6WVdNs9?j>F+qkGqayr1S@_XC(P64se;$Uu!7@I`aGjoR z!lr)K+0aq-3{UejvNXuSNC;>fXfUD;ef{{Nf(%c_tz^5~-o&|P8!F1>sAsj}h?Lu| zN#t6|vYhWu&~(LAXP78z%+*Bf7o~_`2=S8ihEJ)z%7|2_tAF<|3(%3*1Wp&;4OTl) zL#}$;NNWa>FOm^nbS}jY;T(cMextI$FHsulC$a+(&(toG-#~lG2&GAoyLhg}Konoe zeT|>u84OuqFWEj6L$9{~l!3^f)%~O;ns0;3a*)V3hE+l?!3YRzTCAXkherOY zaXMa5Pu=pl%oh2HLK>}Bh^w9qyzF2|yY3LD)GO5H@PhHr{1rnAe$O)vEU%xg^_IbM z57by<97Vln3@gyB<@Fh2pBV>Hl~QVw^7@brZQ^){gq&w=u#%qIPp67>d42bcuZ%~| zk3mZM9LPR{DD@vhKo`h#(|W-W5dtz(43+fFkwB(U%0I?8I4`pi{!vt3zupeWyhmMR z49Y@LufFbh-2 z%n*<{6A`i~=fo7Gm@@5|edutkF;j!)&2&3xmzy)m5Ry3K0$`GvKFkP+GC&V?2&@eF zr5ngJV3wJ~9e;_NFqc(anMur{3_;^ch(Fwv8N{3uvPt%5K8MOPGnf>ndwi%~gjOY_ z4He1EV;-=-K&@Jcq?2$eQ-E2*bYMbcPXRBBpK+03a+ta_ev?Yb7Q@&zifJM|>QV`j zjKF~3xozvGfq8Hp4#%P|kvDM50@{1yH`R4Dp6m|m=NdD^Z)7w2*$mqD>7WNcx zpeL(n3Zt5En?jAA;kRL!r1O!?(y!{bfx@iX41dMAC*1a4W*$nrAxHqY!rs$MA6<6An!OqE7dgWncPfECHf5~Dz z&@J$FGP5^a z`prkO<$xu`LBXhmDz~R5=d28avwe#q#=R0VDnjmumdiD&enFq5O6t}InuotsqsktS zGZTdc&N+>Pmj&-L;DX_Xo8%-I!X4;XD->t)1GvpZkfYL_4SQry*txpWsM|=Q`UyK+ zf~Xdzlp@a${s@1>5KzAm_9L?+zCY1TIg{mVlK{T!;1MkpF@d*BXbX7ilFw=i!#T@J zmuc^+c9GKbJ`1H7oI$#%@v8ZH#2Ldf|5CLj&jmw$kD{#UN2Js}1GJyI9wp6`w0OBo zS3w`Dam5ytzpBZkUorI0=yDf`_|K4-_(9wvEd-aLaavV8@IRkPr&aJ%yG4B~0Z$eo zjqbka#myX-`=$CCI*)F&zv{IUcQ%8XkRdT=ABI{C*z;R=Kj(av;1q|A`QZp9kB5kA z8F|t)nplCZH7FTbL@?Z3L|?=@60d-5Q7lmEH=n{jiF(6?D0cYe+4^Ve*x_6o5q5xj zi&H=~X9|Ox>7DNk8<1j<;+2Q;c9gpOkmO0H0ju_qJu5%hVK_5pnl+eEikVUL&|enU zG*(p|hZOK$ihnNkOGPeEIZHmTTZ5NiDIKijz)&#qVu>m_E2Sz5#cvSLc^10!27NG+ z@XdAq;IZbqVw`6H&kgq$^F}ItWZ5a^Yqzm1=nLL5p!@DmLgEs%0{3E^5J*^o8^vM^ z7pycOz7fCcQKcUeO^^AG0U`5Mj3P5tE&%6{mH=BSA`V)H(9eOL!r%6~$auq=_u7jI zEy&}SCFG@~6nck4%PONo$@e`DMWiYYDH*E-p=gXUl_>9^lt&nm$kog(V4!QJ&~M}w ziX9N0)F-@{v3!x2g zBz9BUfTsW3{d};XNidgP&nt zHEBPH%kD2*wU;QnjqB7<$m1o{!*u~nI841jrbyvCwHp4OnXN5~n%DXp7(|)Hy=Dd| zzK86^BrAQ<&0@}L8N$9$1=3{7l6`+^s`8yf{IR~~+~M>Y%_#e2m!z5@a87lQv7lQj zeBX&>)MCJ<*q~Aas>wn5qXD)c9K}lXB~uXf1-_XfD(d5~9iENFl(9h>kV$f~Rj)K( zxwYuobf36F4U(g7dZMx@>V@4?S;6uhStA$;AY-9g6d}fn5JOeE-BGcI{?B)wnqYRXe?@p3z|pR}sUlP0!+e#0S!x%@5{OsFcN#b7ew57oL%Nc2|B zobHXxCFcbBFZdtu>WDTXvK&P;&m))LXTr)wfSLd#KNol!Y$rJ_^UEg;bu9ge*mrnM zeyseCth^}{(H-!{AX>IlrQFjsLJ;*ySw|0L=5K85pd=xj zABbrw50X7zKF^pJd~RD|wk4jBSmUHf9K;J-1fkxk7}KT_H4q1jC>#YOR>1M(MAxH) zG70bevY_KR{W*-NIN?u;#?o+r3{n!w!*WjhFN-Dp7FYlib`=LUS-mO`ki1oXptkS@ z6q3qR`EPg_dQpN`a@OKixvbQ3xew%XInLlzET3tBg9qTFC_X^L-bwP1!+TK=Kq+z< zj~DF^dCtsG&#{ws5VUti?ushrTGQ~f$|M0#kvwvsfaty)f%X+kFW+`ZCdQaxR2rRR zu||YlxeEb-;0GF$%A54J*tH@xkGAw~(tykjnIA&A&}-4zDvxPFvUCJN;c-MFRUU=| zCTFVAeULYGR)u~86_Be!{}Lb4`00Cb$3dDZr^Pk|t;GU~w(@i8Z_*xke;4SZee{a6 z3IO(-zf3&iQ>AW>wW7*cenF%( zQr*9h7q6%HI3wTjy0j4ht$Q`y51DHoA%9)4K)f*Gvs`q^1gV+wM7sqVdl0!d1&Rw6 zR{SqBTrnwGTA!08qoNdYPCz8agm8*}QbyVOk91Iapuizx9FT=wiuB%1{mLX^bw)AP|dBqS=?Vj5H+j+Ky) z_>m44?~uG$VE_mWzKgsrI3)Gn^RfF-DV?QDl1~jp#KA+{GAe2?r3&nrA?ag|P6$8e zJV(0zd-2m&Ig}G-(;#2U#flJ7kj4Fqhtkdk1&+Mx!g|wE*BGM}a}}Fe*Ce14S)r<8 zPkGIqM?GRS74s*`k2}ZXyoetvZ~$!pNAGh*54slu2=L|4qUAt8XOm&BFgMBDem#`F zie1qoVhyE^5fS1>Ir?c$-mTHUP-+2O0dJI@*t{UD@_I#MmZ*0Je;ddotw)LiI3{x# zJyvlN6O-HZc;!&FR&kDG>ZVO4_kVF&TC3uRbIsb8G9*bR;e?XAzGjHp(=i)!3@SfR(OvZnr zr%=}+V&Yv&O+X>_Ki=bJ{n>Zr7{ao!848jiuE>w%cbKx$$KJD|CAh)23xN6%xYhoMLcdg~A zXsUYtS>s+esv0D-$9w{B;Y~LNxck81PB-mu3HBrA^ba|{b+5xfAyBmJQ9B!EWy= zLfvqHQ5OwGifZ-buhN4S%t6KnqO)kRerq zdP`2TjBxxp==maZ!!7A~W!S+^aRE^LMn8~(r!ncH7NqefP{7m0(h>6O~nDZJZoDsnt zL5JhtD=da=>ZGe3iTaTHxpGVVXXUn>m%TIWS7n^ts<*$3lY~(fMK~EK>(=S=N<4sq z2=)m*uB|Dvn)135r0Q1nw$h_�Bb1bxSr^R;yCjNOCpUN;)rtb2E3d2JDB32kYpW zC(=VQt8~&VM2F+{Lr#Ou0At|mIlfh|;UeZSRjc+0niDNQ=pyO2x_Q(&gqoYRX`ZA; z$bRIw*IDnntlpFeNNN>d@T8YEvD5c&CQp*0!hUpoRk+)j3%4pu?I`UCa6dW_)+3V) zT~t!>NmX^NVp9FneyJ}yF2uW%q{H$gx6H3eyb010{D*pssK;{sJI(I87>O0TQL9=Y z1Vm0%mBI%t>tYK6peiGfZD^gb$EvJMf)-P!ts2oyf^h==g69yw6DI_CRh+~{J#})g z$oct4X>VYj2>(g!W3W`_m^LsP^Z+k{n5in(1y#uc_mC8o5cjOShp5A_^t@lvAF5{6 z^{c*D5n#tud*Z4yjvMatIso&b>Z%rs`@B11#u`EzXw$!e`&C~mU;z!#c8g8mtr)c` zx^OOdp{j`dx6*_7Qxt&0U`wHkA+eI?My=ROG4CrsR+5yel|8G%+`OueoBPTdh%c7N zS_GO^AkTP;IsaE_laCiY;~=2Eo!|^xaVcSS2H#=XTi;`llbj?mF3PIaF1p#_VwSm| z6(Ui!5z8{|A+H56@O9{Dc`@{XHE*&Dhy^RCG0Ni|FS zCv}8b&Wz454*~^v12BM$YA1L)v!PnUrP5B)>Yj0nkNd%u&dPG&bLgYhR}G~sLzxt) z1|Yt`Nx9F`**O$o2pARYv&=BkmF<^#BKnQI?0#C>3mcxagB`XUftxuS0t^cKGKDJW z>JygSoNtIsrW0b-MW(vZUamT$Ku{xswW0pfrm9M|+^K+@Tcy6qTE>1dJd-gQYc3;U zj|uvaKp_lUWs|FDpECF~j_F!zWKpVxeT_wP0|2C?=hBvpnM8Gs4mW7h?YKs77F@Rs zip9gZ<^`C0MUf0|9IQqvR$1|RCR?)|cSKXDMzIhrZABT=ljkcE3rY9a*uq{_b5-b4 z=C8SGVoT4A&NRcvS8FOJq!#K$DAY)mIz)biZl)W1)DYS0Xm}eipUlYJ6aV6I(59Rv+ zMUuDp)q<1T;gaS?v*L(!^xqOZ54$8pC>Rp55}lV0lHU0Q+Jk&@Aw9&Aw0` zz(mtYdf3R~RP33W<7x zj}cwvr6x?;1EZB-E0yC6IiwEou8vfp6M>g_Jt{wfVPvQ439$G0BUm8cniZ-(;WO{BIF(0gdh!^PNc72k}XdL+A+(c#7wh{J)6nIU{~>`l2Mc5^hB zeXq!fz733{B(TW<6+j9bqi$_(O5e=NFB>N`u~}G4_7g34K$z|E8XTip(}HeK8dd1R z8MFHs6CSzXO`$ficxh2x4ZW8}ulW7THK~aV$LK4JGmLyj9OXp~&3DkwM%}0CWA!ck z3iz2h$fOVQkz7hHgCZ18@d_Ty>5?5T1tZxFHmeDL1T|st(g9TSfDgGisSrj}PCa6X z9b`yKsI$p~1@d!)7HHSl{s3>K|E$AYu7yoT{Ac|@x>4nuN0y&ftNiJ6A}`S(shC!y#1B~t$iWDo;pffVS6jdLE4Sq*M!U40`Mkn zAaTWdv<=`9dz6HLVIxPx4XVxQ$dX021z|n0pv;+FS7GkvWbNT=>_3?KDm`A$b93fcgLpR{p`U0Bw2p z2ZPfrP9h+*G-p}meO#-4uaKj4Co7dbz<~LC*S=DOD2n9&F1yXZ*`L>Y4lm_L)E*{_ z)h;+SOTD)MMt*^$u#f4!;)$*GGODRwHbumDl!w%|mxtAAq|Rox<90H9lx&4wvjyoHtQ;Kux*CLijTHrpP*Po5-bu@ffDL0On7gP)Cc5 z<^8dKWDT>~*DwQa@MeJ&c=&ihJdULwN-RaX@_Zn-fL}%I+-~y%Y+%678h`NFn#R0N zuyTz8@`J;g%!KSRb<};m(it`3{s{Z7W+!fw&@WzV50?py9`-thlU6v)xRcyfQJGRt z3@HKT{$@YXpYu5;kj-af`-kMs2KYyt&(K<`Lb9VNL`YG}QIZ^FQ+`j;F2GD>44R)K zt*l?GfHG&Niyjw||sopI=OwUihC;C$HKVCz`}3Fh|%Q#2>~i@i(wLh2u)oWKSmMDk*ciSghO(M3R~-;o}_CV5ZKtjGx#yKY|clA z_iP@{SC6E)P`@S)lRU*1sa<5_U@!5b$@go;bJL?raw>yo_1+~qE4qa*C?NT(BDLd2 z<0ScC)r!ZU715}wNI(7z0p19~uyxyOE&{nb!9~h3HexXk{3av(_%-E+t%&)Fx|bwg zq(Z~doHp#Rh<~{cXNfZdwd6mOV~bY}$-vPKi#W5j2fT0jpPc^OZtDzzajW^DJJoUF zTM@D`S7Zx#-?~#cB3vJ(b&d#KntP5t&(34q=lrd0wCGJY6R#u7nI0E>$=2hhamsVW z^ST4=4U;&P>3Zp>*hjU4OvLll6K`Y%;N&Q@t30YW z#!g}zvOT%7l}tU|nkC(T+V8YP%}QJ zIln`Ga8hlpSZ|#Fa?Z+>c`V?*5x&S>F#ajusZUfVsJNs2Ed5FsT*AeyL_s%YZm)vuR zJjpj)X~ei3Ip8Gh18RhO9X-Yk#Ef&lz{j~$TpJWr7-sO5i-7HNlNmc$L@j^a-xzn5 zgD)G%v2W+IM)(6kd>T&tS%E?NwS~s>S{FgwgGAdKy3{bWngb<~Vt};)l(I!VQ)G|w zi?Ith>(p6xorybVio?Unb%;@fSQ7-~WsPjLLUwCC%R1z6o-}*a9ETV_(+^Q?Sby0E zZgH$7ox_X|4(GYHoDMNb?10@B%EQ_o4||PT$Hhdt3CT}RFhbbZ=&>&wWQ~7ix+PX5 z*QK(L0jqw22iN^#KdAk{Ia;>Nk@QUDPAYY=zu?Rws-q(@_t>Avt{UbV8_Y+wIOQB} z0>@3yzD`o;L**sNUlq@~#JUMgc|`)3%MHmMsnM*P*P3$qU}`4bs^qPm2Y;G-H9Jp~Va#kFAFW5Ln4#~LR21=)_bODH~V-*Kd$>zDy8EkU8X1izNqLe=MSJC+~qyTASWnEDW{_D z7WRDEF$tQsG|U+=KyT&d`$b~(Wy&eNg46KnOnvIdgY?-8z_X0YQL`*_i@mxk&Lui6 zIS+?4*6Cb`rR7=0iy#bNmDp+>O3;k^(e1fI z7*w=;R##N#aS}tN;bzT2~}3!DZmWIJN9r(q=d?^l8ksu+lnZtzVut>=f=< z^drOtLD~AO$V@?3VdZ*tafv#i^7~j??Tq~qps@x(9iitP^U5Ve zVzADviayi-9Fg^Fb^E@d(FTwp)30eHKPSLk_-4T$w!U%{={QvwpLJaG9u1nLe(5qNV`LxkR#QG)^`y) zRf4R&1I8@flJJ0kuERAd4NPrBa3*O?>;d6K1aG#d@(_E)5aL~B+TmQu8`AH?lS9*Cyb{|GNmTP|=2l#Whd9IGFQNG0*0FOg=fd`y`p znIw3Xb^YH6QxZR0vEi9qdXid*uDV(ULyVfypAtn^px=Xs1-_B!&AprDNW4P_MU_&^ z8Zg@UZ29!{l&gx}D%EZ>_VczIDXIZL>=nCg>wT{_T}@J8@E}gF&hB8#QR8KUvq7nu zCdo=7!#74b8SBv?imAfT@vwm=q}605Zzr8ouBF6h2dBBXPxm z%-Dv|oJO6~tPGMutQ7YP?urzSf-y)p$%AFGhnx-pBe>6vK59kl6Ad_$c(xXak3X|u zCNad|27SL_*0?~%N1%$?Di%gBggvQQbFy$+RuRN!L+XY9;U12*Cv50nF}$t&Qpq>9nb4VpaMKX}Cy*kyjtNl}EAcYw z)F@6fX&iP|q+^Y&RMs&FvYXyy1kLFm*RvtMPFfkjey8OR^eXrAlVL0+N&>Cr9;!J3 z7X5eFXIQTk@5=Syig{}pmF!cz{nT}K)4?-&m%MRpvec9}ggFET@_&qUViVaKbjifa zTxyk_O$$U+;$kAT5yLmm8LZngOn|abJ>>sHk2K6Q(7c8NriBv&U+TVY7;^e%;%=@9 z6JvBY3h16|yiNL3GwgOTYRcliS~lO^7*O502AKaE@TqMcBb&qLnbatY?~k~PWV6*1 zv>G%bzQ;A@95Skj$-_2>T7-#%GPZji>68bJXiU)I`)zupe_%7|$9u8)h@x$QD)yBdXEd zK+4oz&6s#SSH6La#Ip^EF$IYK8M+RzCXz3{CE4^&?@gpvDWOQO3L>C@pdi%(C`IX2 zDT<&%NGKt_kPu1&_J;cRY-c&odiLHMdV1>V{rAh4-EU`ScV^z3ee-7CZ{AC*-Z9>4 z)%)0uXJFp9dgp-G-+ehfuUxLi&FuWc&$8!_oj~j19oLK4{^2d(cD?EA>Iv{P=FIhd zvEgF%e2VqB1}XY(UV6qmt6snx5mNkwSzR;V`o{Jp9lrur`egffTcMLEFwf_zJBdYM zvDarAm8M(!*!s-1zhutF%dMtahkFZSuB}+>;gUFIdA=mw^G2b0x7l{7*TOZodXE;w z_>{M(+jZ#r?t8HIiQeWvNU7iVHgVXCCi)CxJUdo*f><&2J_qghf>&*>4 z-fcziJTrV(wcOj#%whELd-y(Qd=#Aj^u1ey^=gXn<<5Qn4ie5yH(7zbZ)jjcvQ1k- zOVJvu;HwpFDP5e+wcM7MeQWE_cYZeh zx-6FGhVsQ_%N8Ff_|>(C{nTTbZ>QB4=AU7F6c2cstyf{n0qXxN|0h5kWV!oT%Ui2c z9NV_%&D;7C%Et53$e7?|8z01e2luWUOvmakwk_JM!PH^THt${ht#426M)u+5&pG#c zj=N{FO9~DYL;_AJt(0?|Y3qmHA;8+rZ2t!T)Rx5?*7A9>oSuS19>}o=N9ht#_xE_!7Vr2Eh%a-eefgy zDE|tMG?fvwAxYc3v1kvcyWg#KVDs~U>At-MF9UyeNf3KBJz$}4Pk*>CtLSnNpR;uB zd19(Z5PPa1E7i5g$Ny6czu&N5;Fpvl>fdB=wqq^r;o`I9*D&XaZ+2)_i+b(YJ?rbd z6#e^}#R+lN&HY;FyPq_ggPh*@+3j_g!n&;p3Y+FI+&zM{zDIpWd@kzu(xwWZ5a0T@ z5!d;)R9*Jj%*i$W%i~eyW4s)eaB@2iG~e7%&FNY=K{TpZ(#_jFVWspHZyV>-_*`d& z^bcojwb3HRq%5!F{kJ+S0y6v-*mMQ#FbfU*UcDzk!nqc29!=-=wiFXx`l9^DiN=06 za*RrA1L$0;SDednkE+fB&Pvi|!UB`I<|W-u-sM!w(z@7w_o3ueGdedj@&5XNoZAZ~ z+0({(rl!7P-~Ai|?#dMpET=i;hAiK3ZlJx$w<++fXM^E%i=L-q`Le3!rX>z}0s7|U z)BO8AMvC9`~AoKZ*D!>*1ToPf3@q0 zEiF-d{EbWYwZRUiTYS>#>qj_={f-1z@KES)fvU9^Y-d(?v{Ey+;h)<(VEhVS`PW(= z_Z{(Hxgy;1LD8Syw^y$6Xj^d8pPW7Elb2xDcf-G!WL1u5dh}&kR&ea>PI58>syUM7 z7yauv?HP@nr-(ZDYKTKak)aAEh85Kdq@{o@V?y#?t@x=(s3*I&gi!z;EArx%mnQ(OT&GXq6U z{9ND&)UjWtEcA8h%O{uxU>4g4sXft+7loJezmj+XIfJOX^P zW^83_s#OB-&;HfiJckV_?2JkFTdp*9qCdp%gO6L+KiuXtZCxHy~pqfL$0HBr90!S;ot% z@?~#jyYXiGySbaI3q0L;ySZd<7S51x*mV()U-6tBR@TJp)zd=8q?!%Hej6^e^{U>B ze$Vnp3tp^W!gZvix;l9Ka#zsmm%4L1xMqX^?k0yzeN1jBcP`L)RWTQc{sS`e9kLqt zBYXe3c(+Ydur=>(|63p5^b3AZJv5ZRd6C>C?yinKEfuE7z!RQJlO`OLKVb15ab8ER%3kwV%5(O-buWQ%>6CBCd7V~4x3)!wXi#jybRL(9gP_SJNxIbsl*%XQZGip_aZOe z?^`PeJ}3As2H|A`3hRG~#{)-JMfrD8G^r9>aCMLOHtU;RVm-jjKH&$a)T^>jvfy#o zu8ofzJ_SViQ&O1uRjgmT6ajjT!oF3+IlN>QXJ_ZG-1=9XD+@m3m#>`~@U&ZoQluj7 z*)A@sb@vDSS7*dV*G#z!Ykga>?q5lsK!?q(I5euRAK!9wNBn%lmZ_k-zGqhpA@i8J z;0ptsfJOZu^Opsz@yiSV%3k^3GqT{txc%MYw76x7a{=PJkXXGDV4HHyd5eLAW><^i z9qwm1#a3m6$G#02Oi3q7eDem#?C(8G^upr+>!snV2Qt^dmCEGj?4Hg0druL4*-ZoK zI95O|t5R}R$jtXp4( zBr!exV#`c-yy76O=N5!-`YU7`PqX<&4~~?x+bO`mILI@G%L}{`cuLPY)3@OG0EP!; zdJb$Gc+U3kV}Tn6uDVk08L(_7M$I4GFKEzu)Z~fZ&1yh#W z0>cMQ79Gi)*r?a@F655a^?~7e_x$v$cLY0Shc~-*IQqz~juh0?>_de!l+KxpzXeEzd34yon5r@mP>5zgj2cc;a#aESM(_b=XqmQy>gaLYK4jKB3R*q-Sd zvXMLZPoQ{kW#A>QLFAditK5x&{-#$3g}pufivA;hg^iqkuddwPWYk2N#5>z^HFuD6 zaOshLN%l6%?x<%~eyKfG*9+oUiQT1}jMzQi%LwIxPE{7{)V06JnFHGvdIlZtzn-Tq zoEPpMG%x5B5|Jv!UTvx1WCu<0j9W(s*ZD^P&O!2iyTD+;&GVHuCb$9RqPIOgG*z_jWK&#kUBW-?coLAHDE#)i?H=@{M^;o~bQ&eZzep=vkw^ z`Z|8H&vd|ueZs7;KYY`s!9~Fb`yN;t2K4y^^bFZNu4?RlF>iBFQ^1RXSJ`R7I_^i* zwi&i#CeUfmf;Yeby0Tre#LpNb^(Ty$utl$wR{Y<+QTAn11tt z|N1sXxfw4=R;0KLogTRBtKyU~_lJ>!*{v?Q=dIc}VLgAi^jh2>{NIk_t&09#y|}>I zmQG_+@0ONB*~VaFi#Q>yr+tSsy0RBfYZyp!+qE0ZsW{llE{fOkq^=2DjMAc%EGuATM|G_7t z<#3yOtE9!bZ)eM`pd8=BgZbXq`mJ3vc7H5#>u1--2Ayj8i#8ngx369*uG$RYrLYH{ z6?|$w>3tMF!26?}VED49IMVoK3KdscF>=fZk#i8HuhG~dA%2dmpQ3sV!h+}0jTGl zTa=Sqf_i`SKATtE(N5da-`-KOeJ6N4@Z}aTST(eC@PkjLAAjKW5Lms+w`V|xZn}Aw zJKO&%=pgwuYsN{Ozmz+RnriQQgYa3s?*naHUk(QMHM5;)-%Wqu762~5dU!o?57e_r z*>633(xW~1c=OioP{)hLs{>R6NAYA{()RKQui^FZu?|0Acje%wE1VUE#x2Tzt+}~% ztKZ)l_bel+S5u}9F2~CXJC;2ea=-z@G3VKDVw@qfb)hu=18UET41n33*~_+UmF92?C;uF?}Q2k5`YW}2R% z&zeP~9fqQ?n7T?JizT23yIL%GL({qz86CytGRrLF^m2L-JpvQU45jli;q*v42aKj~ z1!L)BO-pPK&`S+Y5#~Yjm^fk@y@>vre#ZvK_jR1l|7g6GUQAD%=gmJv4U3^Y5nk^MkNk=>zq(^*G1ym=Df|c%*nIJrjGlPEm?9zcU`9^Xc{=jbF^j zW-N&K=y=Y>*fgqVj2>%b&ZoH&j2T<4`FrSb){*?f^ueaBU@t>ydWwFUZW1VsMiQ>j zS1~E&7uJW>w3i+(OJdw@L?f!zm)4wdCc1v3u4R0sUv(~`e@E;4iw-c{ffQ#9ql9k@ z;TbSYWQ6g9>xJ|W^b_>)1{T8(ABBC3HDX-FNEu>N3&xRZ8%8N$$G8U0XV~B&%oFDW zhEw%Jm0X4=V+ZzLbrDs{@MC-m|2gc#3}FPhsu}mGk&Nf1w-_Xs8-{C>J~1|R?dd$i zFQ7gzenEKEu##cURP!_G$M~y}d`2O|rSS*j3ms{CLC8Q;H!@B#Dj2kg<=|$Z3|c|^KrPIR+TA_D z2*U~)tLmhT)lKUvf-sW~1r=kAD~3P#$LN7wI%l&c0<@R09Nf=Hg?4t|#Rk>2F%D8f zNK^dh(Ek{NP7RDRjB^am1{3FtjFZkk=_c6A7U_-G88;cJjD`Fc5FWWteGOfQ9y8LR zD#mk088(#hl_oP)cmL0^6}-S-hkRnZWjwR^NHBChW_X4FlhNP36$F^+@Krj7nOa97 z;hDqwB<3QYbb!jFMV$!`vQA{~Cz&`KGfkY$n6qJ)Ol#(%_Wy{^{F9E3%tm-N?0MPT z%H&ut=3dHg{AZAe{*e&C)bdSi-mqdvy5V=Wy}wa ziSP<$I(;QGb|i`U#I_+nyiexpDxzDrW!_0|jKR!4Ga4P%p>P zMAV}O#XvPs1CWX2&<5mdNDSdyh=!Sq{2Q_apN8K|F(WR=ud+DRyd2p~@dI$7*GFEC zyc&5uLJs{qw;?kF2mlCx^~f3cAD2HY+8kbEWX>Vx=$;kbquW_t*?$S;Yz;3#bANI9koYJ_i)9IB3i_NfGbCD>*{#I@20xbMU-h%->9 zw=MEJF_U5@AmP3uRsut61=UVqA($`li_e0IIA=j&q=NwO_r~)nU>R^IM{?bghQwlCbJE&OnynKHd^+73@Nspfa+3<3f-Z-*^=xc_hi0s{ig(hrrW5r9);E96s46C4AHxb=cc92LjKHDf)YeBf7{ z1B|5w0#I`(i3Ur$FTud3?}lUy*R%)(j5b5RH5~&3aA=F|_>MdEqDb$NY)B(uA>LrR z;3M=h{Dy@)xC!6hn27o4oPt^16iPZm_&V|o`Zzqu$OCTSKs=xEcY{Cl78-Z>B9M10 ztNToA8DDWf4F7HT6D~DM61)YU3tSsx1#S3szbj4Od}4J7Enz~ zjytyzTp{|V7Dg~_kpGL3QUN>13kbkcvYjv)pu#2OP?8V~CDowzc5>uFybU=UQb1Zz z3uZ&ULOFi1V1O7P^by*jKRKQ#3=k^N`@X^f;%@L%kcMDQcu%?|m=)-#9`m_PDUkWKcc2D#XQ zJF#8-7`_k}zuQny6y-5&PeJj6lt8c)5c$7Fd(wYRL7-AFYY;D7SfPf)D935mzRv^= znNwYh1;x14bUR^bPZ%Wy@QSd<8ufJwr;$v-bHP&KCc$DdYE1t@-77>K!Q zQ3*8(KVdKeKK=`ROi)3}7T5x%xH@<{bAnzv!jGCGy?~{LdGP~`Ch(5Xxp9s@Dj>5K zK_2)|n9D)}NE4i(arj#PoDh#3Z}`#B6ZIT-4C7k+3swlm8KZ*sx^Ke|*ZtP2qkVJX zGG-XtyQGdT&YQ8(b@q7sh<17(1c->DSp#=~=URs0@f{n>!F#Z|XgP=xm|$_(g^X)W zO$-8K!qpuxqR$iE1PP+g!kJ-fk<|4iZI5d)-bX|heWrdSurca+FPt--ofy(aLt(JU zUzCNh!o+62oY^2zn1xaC(<=0u${Qavk(fl`T|tWAfxwlP zCiqPF*6=DE7RF<@<6?yQqDto0ikJ0wQ09I&O(ctjW;r|tWABh5PA!?%o zIWD*(dYL(=MXrtKL~_1q{Zo^2(um&6+>D{BWHY38Xx>ov(6hmP{T`NiLvfy0cBTNA zM_ioUfS1slks9RY$Qbo|$eocn(MA4g{f8qn%;WGIv)>RfAaTzCP|$U7aaK~`>n7{IL)H<5as-?_{r9V7Wu zEN$Pp7zvUM3&jg5G;vMz_ijs*6ZlGTt~i)S6MLXOFcKM#;(GC7>?W}TkSDeicZ!?D zwPGV?oA@)_A>Jm=61SrD$O`pi8(?h2mucDJ0eg4xJ|s?DD9#fX5LGsPULCpSk_j$# zt>Z4*GQz>;n!b<8&vHtP$HjZZ%f*T23zMGcfrh&~A=gg9P2o-9Q|iIyzx1X&pHfd* z_JcCkFW+6Xlf455C+*zDpy8}I)z4S_Kk*XaWWjwgW!_`)Q);6AGQwKqte6X)N4awW z&DDed;^rpq2alp{`QlbU92R*GKc%wt0}Gx~*RFjhjt9_E%ub76)@+Iz!^MUFBff){ zR485&_d`5GxIs5dOeU(_SBbYruNE6f^2H_K6v~|d^lezjpW=A2mv||#K>SMVFLsoW z#LH3Y_=xuqRN@t+sb-z&4bon*t;7&KR_-7%LVLt9yG}e{7$DgcWsR0FL)%B~G@NvH z@4Ug=Di#xV6OWOWNPy@jmO>mLDF)3XI`=mQmGtcl^BTmlR9x=8T%vNPiPI!bVzFKd z>APuW&P}1Et%kLoLD>8pE_4Yo^(Hw0Cj)Mjy|!y@aW+elD4miePx_meB%;f^b<>t)x=Sb<2}ryZO&%-Q~D)5 z$rf=8fosHkb4C<(-B-N5r zOFr3k4PMo^-jpDDOHA;%AW30%N+j6P=6|6nNiRLbKPdf!fgO??1J!Iq>L}4kj=(FT3W)xC*W;oAtIb+T zKlog7Qfwpr7jm%w7qXRFZO)PO$CIS_k~|4bdd_8?q${shvOzoyIf&nF^ItbBalnN| z6^k+iuS9k(*G3?567oUx*N6e}?#L4Se(Fso7`hDqJ>t4ve#b9SjC8p)O`0yHglC`t}s)$ zLGXi8ES)DkSilppiF~T3DcWvu% zR48BACY=c%!_jb!v}D13fsbR3aJ#gXoZh|?&SxzZHc8);GSFi_tIsK4Sk0^uDWo?B zmI`y}a#0RBi8P07gJNh$k#&(3BDJ(*_fe!YGF!lrmQ!qjgUm{jPI^GPM|xDM2iXF` zou>tVdL5DOz}b?@DLps`92wXyX%{z&ZN+_Jed$S4vZEqs9xBU*#D{jpC*Ya;#a-f- z0Tu6+XfY-NpCMg@#7W1Pi=>}L<4kLP8~yc&1@RqKOP!-VHT40ONnc98OMgiJMf154 zIkDEEn1oeQD`t)~-Hk^~qA#ay4JQCQjL5QX{QHtwFj$-pY-#C4@}zW`3reXe{4ww; z`81Li1Q9MGN0CN?hkaY&SycZTur0-gfW(nya2&l6=ZR|N)-o$pb2pd0w+b-dE%+bO zCz!&oM*5tl@Z*BlyX}R>GQRW^Vk`@jjbmm-uHsPA6uv{?DxSiR;i6>Qi>C19qMy+A zqJjCbvRTmsx48%}APP(i4JU|DdrZF15M@ZxD~-qFBef>6vfE&$YyfJ*4uH8bA#@Y- zxGjdDffKT|CTnD?Wh;>@EGNO|LT_NEeB9^(>9pW2vK<#Fi-cb^8iDsrk;Pw-5k${~ z4d`Q6S`1Q#BbLG}=nAV7O=}O9K_2U9;5+IF$d&my?3UHIG*T}ohf+$>-lL^fARTDR zB?2+{ck&E#v+P&MtCh#=S6O|crWp)?UfnCayywf z?w(+wtX{T_OvZ)CZVDn$8b9AXKom>!g=b_k=_!;lD*`N%qlvGm7i4wl-8@o-=!~q3 zyoWx66-qA|T2qII=BMuCb|LezUAqlr!z4cWNcLOX$H^tN&+ylBn^$jxYDGt6Pmx!$ zV{82hT+t<)6zFjNwp#Vz+ulg;M8L^{DSKT#g;$6}DCcBY=%K98LFgW$C-*bQk&EJq zRr(OlKsEw>k%jo5BJ!;-R9+_jMSqQGh0#EXEDBU0@akfhCuYH`v?g3p1a6Tmj)Li5 z;n_`?kG1W!!!^hvsVUGV(*;)P>~FI3QiDhX*?+iF{0aQVwzbBF?*DVUDs04B%JXG` zSVMO?r`OCu?uJ_;iy=MoC+Vwl6+#C%U-k~SxPz8ng6^yPx?@I~FI{7RI%W*pv}lDq zUzi}*kS0?PESirkAgzS?!^j!35X!Ic zweo|apateAV6!v zK{DkAvR+~yC@`@1*OT!?S*BC?2C!ECmK-eBnz#Z?S+abI?69m|o+v+^U!U9}A4cDA zj_^cz^1jtYVIpJOog|i8K1Vf%+9@5&wpqK7L4*188~V0Ep~gezT02G1p2(*)!_5kZ+OYI9gLH z4K0fFEAO|QlRl7Jf+O<3k(=@f`8LPrFd6U!XJsjZ7}-f#dHH3u$DfwnkO`z-!rx_G zgp0Dj(5rlw#m>w%*JNpm6vdSElI*rD%krA+oa}+DokEg7mJJ4;@P8&dEuX@_l-W~W z%bHf_xW3+2-)AYElPv`dWYwfEvT(M2OQ_<9%w+jbnbqKbGOT=5eljk{uU4L_5J`#h zvq39J5zA@vEIWoAS!}J?=4~MF=r@v+3{B)A%S__U<$ueg#Z$#Lsj>2&Y?-1A&Q|Fm# zAjMfu8he90NiPM8P(&)(ia<2j@`~VCMZ7{^nV`5SGg9U#k`*B+&$aIM$`F|dm6n-` z1-lLGRw*KI>55yjeB}+9vvRwlNC8pSDlAvG4V5Ue(XF7!?G3M+Y*6$n7&wkX z-;Apex(DYP%jajA$v?~Gh*068m{=WZy4i4^yiBH0gdsUy?-?)9u~ws)6HPD+kQsD5 z{wg{S-;9Vh|5s#3%s>t*W|ha4hZNoTSCly!cZ*DoQn8L>bh`bx` zPW;8Zpuos3DUP@P#8)XFk*_HTNjDU}vRjI^)wdOwltHrNvK46h|72%meB`C_IijBD zDc7TV5D7_d6s6}P$tK2rbLvTW5Kk7BSOx7;hCM75_qjFIxVIkp=ELYALWhr^0MG9Zx2jz2RmhzI) z1m)2qa*lGjVx2N{)Dg{Xs{}XCQ(l)X99^xjRvy4@Q0B@H;QRq+d7saBqDP=HSfa4S z@eK076M@$q-pHDj$Gew~5|U`tM)?wX3F$8BRSrAD1BE@m3H#TzOl}HYQkqi!%@6Ls zOq_BT(t_YUkb!JhO{3_S8G|A8-fN#hyt`plm4RZzXzgeirGR%YFo%5y-Sg}ZU>%)s zY@lkiYPT)!lJYQ~FaMNTIB$>@1C)t{yOsc3Mkguru|djVkA>)82Xfv1P$J4lWywlH z(iS*(l%E&{m6v&mfcXa=#5lZ2i(P0lnerWXg=x(Kl z5*}SLY6)^d4pIXuMwzmcqZdX~b0ZbLqp8aGetjWp&Gklg@*?7lycMm{%;+V#FWGTp z49(Smn(rX*7G6>|8_?kWVn^Uy3ngdTcaN8Kyuo z|IYrc|A~Alw9oTzS;44%u{n;Q+A5zOy)tU1+8S;@+SXzxyQEA(TXD$Ck94{66+Z}= zayLmT0k*eth zW(u`W?WnWrD&;sOLG@AbTAnu=s~S>Y@xUu%L92HAdr$wnMZ(w>sswzkI zkz}f>Q&@tF{XM`P2KHHn=CO*sk~flB$z{n&NoDMAz0;DvB`Zj`BwQn$*YC>m#$^MdfeXMLwxS85SqF%G{r`D!srWroNg1oekh-Jp1>v0vaTYR8mpU-! zR0EaYs2!#i(0Mpf)C@l$-8Q^Y{I%{c&?>?c=)}_-$}qRF55PS5HarFE;cpv47-O|Q z#?QsOc8W39ebM<{wnL+=cp%9@pY&8x!g2&| ztz4|01ie6OAO_e9J}20r{xwY1JEL+D&_tgUN(csos7Di8f3P@J%@Xcf>H_@2rsK8c z1G03rqo14n9nnXUrOrhXgs61{SOzRMGONeX0cf@J4ot4P3ADqX$KEz<1izNrfK=B9 z7*Bk#D@?S5K0q_h^MMGAfX+jyR84b7z!C}70}5*3bocW2IlLguV!G)MtG%(VaJ_gK zSE?5IJk?8L*^22b2~?s!K+&q{tQmC@dX$b=S8r68tE@@5y{D_=V=NVSEhZ3^Y ztC`J=KJ_ z?MwPZ_X#ylepu}_mH-&C1V$vRHE@rqEuGUlsjd)Ds^6;7IIikVRfp9$HyPoY(UQcE zNZid-Ms*#xUKsYvOLDm_)C^3Tz3 z{CA~;Doyo6c~uliytdG8e!tvHDR1e`r<<1S~lyvqNUM_2|MKtcb!gyI9-kZq958(ZYe<*~=Qb>otj@(4tM&pG9 z!Y*kS;R*88Dp&PS%2J`dVl^4n^v2@I!vvi)mz1B8EqE|Ci&}{e+jdA_Si3U+MQ)MF zfT21T!v#&$5308(@SVPnSg8FWEA=D7oXSq^57?{UU>ww0nC~i|5q-=B^<8MAwkAhICEix8 zF3?Xar+tR!RG&Og+O#G=(ThcQXBH4an@h`g;K$WJ98AjAn)*{NqF*i6>Zf&4MR#AF zPc`dDB!H{XOyW0b=83JC_f@T$Z~2cG&lAT$_f=`MCTj`2i}WTEw7u;8BiE|O)-ME* zgkFuX^$SGLK7hu?){o|#fEQ{esSANY%_fx*aSeK>DsoOmE0cu2S7AH%e$|s6F=45k!}&|sV|_O zC1M3t^Yp~oqzdsfJtegU8&YBgG?Sj&13(X$ns$zGMO_SBRbPl8Mp4IZsbToG+D~&& z{kQs|+8Fy-eNp`YRGXF}C)KCaks7T!9(b>|6@OHZ;XbQ#)Lm+N{TG_`7zi%FK9&4b zdy6lb_-UZARb#uxqxv3j0n-+<#dVZsh)KZ6uovhuau&;COvLzr z`G?;YBy6Ye;KKpbatlus!4Z z#@~cLQ6&ROAjK#ZR`HXJ1{j01C@if0jIAP6#b2r(c9dbuX$H7iFel^V@MHBus%7Jw z+JUIc7|O_^tnb5L85<=QV7K=r%f-%vG|NUCOozi=xJ6S=`@@U?ECNo|jq;;K77^3q zZwXP@y75lzIk>0p{rGn7D%?ejb%MXg)0v6zJz5T}r%pW1WC$8c0ry6h=#KMd5e!yg zf=Ri~f#5SkKE||h8^{!cCPU+;MkW|H%y{YPu96x+{Z+7+KQdlG-R^)QFq*m@ENF2R zJHSpg7Ms(x1&RjJkrPfOT^W!e-0C{VcSm^Y5Qr&0Kkm;Q!Q5bQ8Q%B^dJXk4`~^qH z*J1X;tzGMZE8%w={UKX1Q|yZWt05DUkktt1*S&>q!i}&QpIBVRu$!2q8wjt3GsQoP z0SMB#Htyz=F^KaBgNN}!aZHKCe$c3%I1vVfGTNaJn1fxmR+C}vwKZKl{tMha=E>@% z8|yKLq0MMr3L0Xt*_cD%l$n~53hFT`080kj$C)6*Q^rhXFO8n48bLay6ZEWwVNb{k zf{CO26%zpM8~>o-AMDNv3(zIIoOZ=WhSi&}6R>w@;Gd`#xxB%sTxS}v*gESH!eSZc!5S6%i%>lfb?AIRutlF_*(1c( zxCoaH6b#wEMs`*{LmpVW!ZQ0o>(PlM*WWcHW{vs+{TDhO9tiLe-%&3Cj%vP}O7&fU zV;ZgM8^#*2rr&Gw#*=A(Gs+r?BfG&UaWV7&VWT$vIKWMFMx70Fu(*lw>M+n<983z9 zJehDAOCx(LZ>rBtv{mdgcwa{Zeoow;NR+&oxKiT*dn*f)EZnESRAQ3313V`ATKUQI z>DK>3X_Mdd<^vBW-nl@<=I%U6=9Y|zpEyS#9{H@9XOv-EtG02wWXM~^fkP;`Nmmma z@%N7A)xS+V2z8ijRKuta5C!x%X|^!KMwz@?bKv|ReBpI&mxY%eRVSZHB7L50ZyY4B_2(@ zKz^ZPQKLFK;=bAi8ktZUCc#W`1TbYd;7|ok!Uu#CrPU3wM)Nhx#-`Nx$*{P5)?cgN zsA>IY5f|VG?vlw1&4haS*x2O0QRUjbc?|q-A`ZAD)k>t|Dfdez|4l@U zO`$Dl$GjV#g;PfH(i@~3 zi&|g(Nx*Z6!uo)_;bhDKEOk>8hB|H@O$0tqrpSXOw8aFrC5D*zDZ|NYlSH6)@|}w?_OnmrWag9yyly#yZ3AA0R`NA#hjC_QWFp~$@@XQ%|9J5*BFs9!kL7Bm;< zIrNPpW33+=*`ZutI^;3rQBZ5-)Q2?gnzep>PV`JAfO}gsY9f{ zTyg~GCivvGy4&L=v{>uI#niI2^+;RY^QkA)T>0;lKTX3Zx0%1gJ+Ol; zz6%{=TugC?r;N>MFbsP;9|PIAEbuh7D5RBK;ZQ*NGolRM6RC{+sL-Lu&9V}_8G4sN zc#dfmeS~j88)~!;=FlU|QS4`W1ojN=HIkz@NIVsU*II&X+EVO4BZ=@w!lU8i1i7?7 zE*$U~voFD6)3s`F)avk*CoY^&NB=3n5#9Oau$nwXO`>E7-;br*HA;VsCvCq@ik@bCA5%xSrwW$3Q=$W~CH zm1)mmobv{dI#{W0ra+*7!#F-Uahw1iS(#0`04@Sx zYzOrjlpF5=erFs7qbUw>W8gMXD}62b0(gZj5#F!9RBwbl1~F#Ah&|;hyrrR}>E}ov z6ji(wx};5o{?G=2)7X$`9f$^u8y_84(!OHC&7y$@&>u2H+>urW5j-hy0zu>toVEJ7 z3IZRN&eCVs&uNzsbD-DQ8(?$=U3aGeKz?eMLBD})&`L+e+UTla1%6?`J|s<$>deOM zEPg==M#3|G;UA&bVOk1`xioZ6Ye=HF=qB{`V@0kxH2ZgCjMC$^y$H|+)xsioL zUtKiw8N}9M8qc`6>guI`87`22(Yhl$i~rRQll63IP(oG(u(vA=bk<$RhwAJpUy7eH zny7aO=?lXNQz*{1P#pm|DPCwdiQa3+)OcMDi%+i5y(nes-kUii_PXMV#H?%D<8kJ? zjlgSM3XmZ@nsx^-AOzFbfL9FtrYzAFfKgWlvbA`P+1IHHp>Lqo@Tt<5rH5;u$#8In z@VYhudzk(TE7bLG&}zMLEZt@86`WfAYm9)bs^Cv@>Cd!pwM9ae>vr8&%xr$DzMne$UE!M_2U0xfc}s+9`=@!Y%OB|6Wpa2U{0U6b^;*Gyv}QZitJ{11Bo zE9+M2ymhxHrZWSv6Ls5k!L&9g3DS~NbZ^$r*Dj3sZ?X{huV_)ljVJ{OTx<4S9 z&Js)mtgw}U`BV*Xm4B%7gYHA|T9G66k*-m6n0~W9LptlrQ@8rb&V;>`JPslR5N_@=Ex#ez@A1xrS(Aa9CSTS&6$rD$Meqmcs@m z(G-cUoTkOosYkt~@!bs~>fctT8A8aUxNApmy={CB!{8Q93i-IF^ku(TQ*e zbsf4}y5GSFkVZZmV~f16tEI1`VHzKU={i4@!>Pc3lWg!Y##UFQJECfR|iI;Q=?Rsp6P;ON-eV}Q( zu8EpC-9Ej&aiV?@JUQKDa%ws?{xzJhw!$y1-zXf%pVAgO{{(xnmD8g7mWG$KpXBzA z6e!$fth!wtiE*81bD9sEjd_Eo8$5O0ggM>+rcVf7VQT6wOwS>grc1Gf^`4rG(Anut zBVkxp#8urV%n&6Tyf__9`Z~R|{tkG3dJZd_wxS9Mj{I|@cfixr<}Qz>10i6lU+sa} z#e6aS7<@IIJ#q|11!s;^XC6$)kRJ*x!7-uJR0g)D{{8fq>F1cnx}v(R(=hJL^oZ`< zbQSd+?x{8i`Fk2`lz_@WYU9V@YMNI>)x;>MKm9K%{m3&{n!*x6O&{~WX>aVTehB># z7*zKWo8O)~mr zEu#K!I#>7D^*7uiC}@W5Qdr+#m!{q_Gn?-J+A|>2d90f=fMKPc6H>;D@;#g zXT;aqc@SM^h|lRN0v68P48Kvm&FP3BfW$MHKP|D_rG2zv-n8=qi{$YcTk@#Ze%fX_ zaQcwPadEI5G2_dLr%k%>Nm>&VVMCEt~@BAwWV4 zy(7INO$kVqBGS8{G!;ca6ckZW1QbLR6{VAg^iC>CNCIgzv0Qt>-k$YZuKM=JCcCq< zyEC(M&dkm^-*H^#zf7d5aD&zFfnA`z6}R$q;D41T z$9o-YCwj;KhaDZ^jGP;{1Fa$*TDzh55R2nC*Nu)8;W9_wk9OKgz*SZr!{4ndap6ZQ zRbnO{p^I?gWCi%2;RMk8ksI)l;d0fT?OG$Ej&BjqM;A^POgP!N!d|MJ#J7)jAJXf* zH*^4Eq24gI{&bUF$%rB3>B!60A`5H6DaZ~bt0M`j8_*7&j!I{&hK5}LRzDN4x6)1J z){@NDXT!K5x8YFe-U)$?O64(#rrN63fiQgKODII?6@X{RgZ)O`xfy0=o4gMTWZ+I9tTjzwe>@ls+Aq==2+VJn?DN7kz_V07>fjG%-B-V|dr$Ge;bic2-(} z9vH-c_7o2(PquE@?mgtL{B7bU`uV!QYOEvgD|*3S#$c0sj*M6Q9)3PzI`)Xt+Occm z+5qc_2IT96o4cxtF}PJ%3DtsIn;?fpD{HieL>a48f}gg|m%X#rEblAJ8l462IdW2M zzxIcTEgCxL+RnDIjIk+|B*dRl2UvyL&>82+_ZC;MUna(tb4tA?Np`r(m!tT~FO7p` zTOd657>Kpa&SFp7m!nqYVai7TWlPE@{3Er= z2X`E@EcjZn_sH$my+;lnp0!Sb>>mGbpXT5PEuvbJy0q2L&Hz~9_nWW--bng{G2*}= zc5GrG7u<$;>rEu(4%#7H)i0=skv!!~6K|kfC)*-3P8$w3D*r;-!<-PUt@H5fz&L^c zm#Mx$I!FDEI-qiLBBBC>Xo3t>d_-!iEtgNW-gmhTRaW|P71|avJ~ehu?Q^Tg@U@C7 zBahXr{YDNS7&ICjhZ^7#4r{7a2PT|W8GAC&sN8z(wAF%5)#S+|Hz73e-r~vDvr6Zb zVzvKO%TZr9b|aXjbPN4)c<02Kfp-;ZlY78L6JbNM6J1KB6G~WjvNfQ;;Df*iVtcHHR*$&9xFM-gRtL zjUL~58b0`Jf)a*>{ZUmqR1A6q?FEBJ=Eu(g^QZoD3&it7MeQx>dwVj*OmyB)em|(2 zwqY_)`7hjIENUQqY#aFQc*M2$leZ13rV?>(lQmPH2FRPzI*vfen_ebnkCK4-o@98_ zq*vv2gDa!YS`SQ`;_6jDTZfF*;AoJsnvX~sSVbwYtrC1(wYhA>jsy;$tN>qVJ*4z< z^g;#A<`43MniuX~g@(E#xCe4~)D#mtc>od`iJD1OuzrZVYYyaufwcrz3_6@Y6T z4{Y733LkWWFu)>U{!)ay5$!d}8T|$!PCl8~b41tyN7)Z!r@8`{ri#_orgFyI##JVJ zZ01g%0Ot%5E3lKglL=#|+I|lEpq@@7(E7=*G{W?;7!w^8l^kr{6u;;G_|8qC z{>4^35b`Gaxbf6&40D`|*rE}q#TXwMx0>Du9UmVa?+czE&M7sTD9$pBkgIDb2Sawy znVp}f!YVqgA}S=qxM>2!Wip&B8s~ZCDHnBang)-29JU`bnpB4S`1b(5{87_xY*Z zsO8sJkhB453bG&%C>xE8t7-P@qnD>2g%PK2AdL&Sqg#+2;2YD|Jz6o-V_9W-xJm%~ z0|oD!qAT5-b|v3|J*4rc;{YE_Dzs56GSHVCOzxk4Lgi2YgbipnYIPc)1=r<*85k&n z5k_v*(x}l zSv!349a7}~pxr;fnv4WT!6CH1=_TFwp?dIJ(?b|9%Ri7d4Ev}SJ`sj9B=uc}T<*ca zT`BhAzKjd7{@`JVA2!v>41NxHehg^MuJlwO!#>02)CNW8F(;y6g zx&dtyU5Q~#gELmhq4fJ?L)w1EyS@UTc3bGh=enoe7$<1;B_J<6joHJW_Uk)A6WVvv zE&{6`oat9*wql&kHk(FNKdg=_Tt2<77suF%hHu5jM0<^7{2A=)VZst2Wk5{PWnQl*}`RJGw( z^c~zT%Og}VU60{{G)6BPl8u84TZ{S`IL08w*=#$5aIA&shjgHb80N^gak>_&+eWK)k1209VH|dLjK2*j=_C14vdw*n{(kZ2w(gHE-9DY;!w`*{7=nWbT&DMY20$%_1meIw9Wd8bC*!v(B-Ld@*`Q3-dPJ0Nn4RYNM!brMJ_k5Gy;sH{$j8)SN^REzdwUFpe@G+J`U_ z?3f4usfND*n_wE!F9WibgN}`iqID$$R_WJ_2xU?qwd)S^I`cj=x2uk+0hC^nSz8_o zAU599Cn)nwD(toZ4sRfWY#cTzzwO;=2Gf5`Z`Ju)9Z>&rvCA#WINm`+Gj=} z>XD(0u#wfMrL%63l5kbL+hYAnk8S1|Oe15Wr-`u@1BNy;ekOM)!&oWIYGy0rM_@a{ zDY28WgL#N4n1=MykU>5)MkZmYZ2~+BEd-sNPUtx|JxD!2T?osAF=#8jz1{J!XS%J^ z?b8jcl%eM;g)Tcndz>x|mR0|IHZJv@acry|IJjeOUxe9(_9>l1<#qVJ_Q>!IRqygN zeJX1WS5!39ZjGV#w5ZZqBN~Iq72SHInqEzhROPyx7rL@X1>Rphc^qe5 zbWC^tS1Hon-QLhsoADpIs2+sI(d5Qyd-QA4jgFiut0_enRbOT8flFBrgJp4a*hOSr zX0`b>R!@(ft^bCHtUc;d+!NLfm1RU(^?i?I^h;JVL2_mb;S=gZ%30L&^PjW_kq4?9 zs?P;s;bs|j6gc#(S(C<3)_2y2lPhTJVE4d9)`5nivwCi7ZtS9|YGcjB>aA$G?hV#- zr_TQUxNErG?JcZ~nrrA=tb=6ts5=BF?`2kO+3w*M)iu^lmP<7)v)=TD(Jsq-ED7!b z>tRn*$zzrcJ4JVmWzQbbc)=q3F1jS6EkR|~{=F-CUI7Rus(J0h8;^n9US1(Y8=?K7Ibzej_m~hR};*{F%f58 zGG3!XSVZQrZE8$<^9#lmrUB(Kvk{UE#%>%!871yx&M>!Z`^?yZ{K~lRUC$IV2M|9Q z&dh&Y{xE#pK}>odgn6H##QbODjgy&r2VKqdyVl4{oI4$v1|xNt2zk?8Rw4^37>6 z`{^{xXGK@_%meDB&TrEwtR-2!lLdY}ZA+H2vxZVeAc&Bm7t@a9H`DvjOKdw#VUGTc66!wgx>c+F0wiP&4IBK9GM1+Z5@ zd(Un`Efl6vbvQ-+yJ^q+ezQlEc2EyeX8^*6e?oua2QW{!@96l-R!2td&Bkm(nPDaD zkgg51kS?L}xrh`njgF`H((B+~*_NDak_P3mXAHnWlBs<}|E!A&rw<&5K0r9KPQu%#N-E4j4#ti9hT6^2m~Ik#A^+ zDcb>R!XUDH^{>?Y8;^TZ1gh5wZou@6-C=r|e~o^VXuA zHCi|o1D)i&0n*Jlaexr`4qQO*xw`#|l1e5C5RehXlrzEVXw-`GE>`t84{vm6V? zR~VafjJ%?&PJ0f6(eC!ylRqhAXwGye@E%40{245WcCSyJ!{ZP*lN?Q8)8^bHk8_f! z^PCWRx7jM$i1V0g!Wr$E<$Qn}>>NVb(K^F3(2g`IXDjAy=^(~~HXmfq`K0Vks~DMK zZvgs@9}ScGOH&VT0eES@Wnn0FU8lImB^lslVv<+(=L= z=PSjNTi}w(@!{;?D07^B@;LoOO}2!!o1?>y@-5^nv5Gh=tP&2OqdJq>2RZ&4<(#{$ z!<=>OBOE7o*g88luchiV0CK{ zQ6N8k6tnNW%CAmp)=eW=V*KslP#mM+1o7pz)LhKHKj*R9pa3!Z_%=P zBHOb`cWHLy7VZPuG^d68Yg&mD0^)GI=&jr*)K;z;XG<`K{+_lau!Sq(oaJ8Uba8*M zS2<|-O-=#oEcZ4?i+h#}=8PjGeZ9W zY#%upR0>y@BjA4H7;-*wrnnLG>s;iPE%f!=A+96Go2$YN>AFkX0Dr<&=XRohf^E4Q zIN@BjVHgLwC7csw-)~Bz`*6|pXiho(IX9f1$l1hw%N?b+aKkwa_$HwAz90(su$C&g zwhsfc<1)B`Tt{vjZ4)Pmgfd83Gf*mVS z^Kcn6DAP1FlX5J~7Gwr8G?n`+L!3eN^rV1&ghH6Z&`tc$06y_3_I_7Ay{Wqo=7tRd zHPCl=?^ms!DVQ-t+76leEvV(RUYMz!`G&9pIYj?k5~Jx0Lhiq8IgYIF`fPNq>wecK zT*}O(I=y>R{qjsK$O_atQ--2rkEZ4A7*RhKW@y?MKCE)qe$ULn(3^ft_hp0P8TH_0 z!`FJp(UQ#ZnZv!JE^QP_Z+2{wdzWj$QJY~K;%B&&y%95A?3^;F`nbw+@05y?-!^jmOybPznO8kIw!(-UTNUH~&3v5sGy^9! zXFbS z@T}o+Fi+kBlGUXYfezjPoCksy!Tfo(8Ghv`J^9Ripx0kz;E|8Gv%@!MzH*;&9npU< z{id(DNkD(U!rleC*Kw3JLTh6`m^sMpWXpSb&?Ln5fEtz;k4?KW{c7gTOev2=jYr}z zQBF&^7`Jd`o^z%4K<&p}P_zUUkwrlOobM$Z)J1eQYAweg*WCX{ht0qZTt`<>_H%-UY*7EfHGiEh6S%%x)X9gspEcaNqI;gI3+i}!x&$23N-M!H z2VbS_V_|qji&RqF3_FELgt@!nksaZ0U7nY%qFmPX!HOci_cb7jQaZvNGg=edDYdXi z;R%<=5Rb#}dEVKpq;DIm?NDXVl&{zQ1^NW`fQLrcpgMRT`tx_BYNbIg@%{&H;Sn@X zC_y8d;2^$r#uXlbLFO%>P9lcD)9Pn<7R))n2fTk$>UsOud96RstMc!TiwS8?`i2-V z1=2Mp({a9nD<^n*o})nErX##<+FQ-Lkb|VB=|~^`CRM);=`K3Y-8i9@M+35IVQ_qz z+YYw`d&eEx4*t-b^jcU*yFK3~#2>n$;LgS_gken?UQes*XtaMdq6Tq_;H-1sW%rg# zCk2~q`DBtPG#m06wZ=PPWWBi#l@94nZpF|0KeC?=NuWD0m5pBdji)^)ydp)WHz%IK zbK~l<1RWin&7s~||1}7%G~$h;oFa(oq^=fveoC2b5h_^I(Yjo@v=P*}hfh>b^(#r< zYvp>Z*>*qGCglE^f*t$xB3h>KVMrx_$y{ zSI$ghyJhNK?1U*!UE6HvOnpFP)bbJX#)OZdwz zLC9-F&nO7#PNO393_hgcnddO7<>b5iYf)}NPfIQmi0W>58E}u6Jc5n~#*p+bdCAs- zxCfhL@CTK$4r1P@v9@Ka)@~!s^x+~1X*hNsC0Kv2{RMxI&MSVh{$_t|;?H%SQD&}R z`2IS-`8w&VRjV0|D07oltDnu6;C*1M;CPLZUgi3wbPvJ-j{)0D-cFj{!6-v+!|!bt zm^1jIl#@q0(bQ}YGnJEnjT7|;(VtardJxr>h}pzZh=#^9)HG?&*@xy6_9+|B;BkT= zf&{>A(3@)#-$y^pSw8K|Pds4nW45IN@hEP4{qmERmHh$yh6tn)_*mn$F(&tIqoA>HR`1Q3H~3e7WURLr^co3tIlcI z-{Ur(R^AWITLr#sn)RB$q@>c77*C|2ndIBhSdzf=UBx0R%ltw86bP@Lga-IN1v!mFvcn;xAj7zoP0*ohiQ7 zO0!Xfh0x!E?|^im4DkA5L5_n1|99YaKFfC@G*II(`a7vt5TGJOgakkKI7={dEz5o7 zX&Ut`&~^5`z-sn3BCmdvitp?z^Rt9C{~r;@2`>bj36?Hf38l#7i*@}=UXB9OC=?;n zVvPSW^JjBsR_JVL&_!mkc0I)&^~;4g92}$UcZC?tXqaGtWUGp z=@gQ!-21j}gUO(vk!OPg*?Z?TAtXbJk`7D*w^TFVyg2e4!W&cz%Wrz^@#b_5A|%Ux z?@rxDe2(DcHn&qLJ@MzRy6v3}5{!pNxo^#i4E0L%pM6(fCise~2gZ$dK3uSqpFH~) zojSY5+wbzTxn3}Q$~!rsYF*QJ6giRxj21TpKY4cvZ3K04{rSrHTPH~+ zZ@@#F+0R61@a_Y?-0MLH`z5pJ0YZBN!Xq-9NC-KI{~LiJ{THzivlgQho=89lRAU+t z&S55Sji-V z48JNRGZww|BqH_{-fY_K^lS@JiEp?+A7%x*F&hB7HM<@Z?(Z5or1>J1Yt9k8;n%`W z1dlr9HatiX&WdMC0nc$Jf+#fano>!`52KznnSfpiv>{tT*+4v?bBR9;_RrF0Yhk-* z7rn97n3CY2ve|SaKwn0AM!`;k0PkaSVE~lc<>!C$SVQn@L8-?6b!g~)f3*G7Y*8dH z@3r8+m`JOBrK__@tfRo2|1y8X`=r_NrqbD}sP4RpXwxjax>}gaiMN~HZ(?AF1RI6n z!dnB)QP}&TDhmp-S>to9hQy0&|wDuh2F9r|8b_qnMo&C2tihis zbQRi>b~*|CV)$Of*xKFTX%&Bzz3_r?SOW~z(0?IV5Bf9MaWq& zti#}U*8n6lZu354PRAQcg#UQ(kM|(&Rcj`YHdH7(=Uf*Y7OV-*^oE082nL09vqXOV z$ss{ieVG?Dds@&Ii9}Z$Te@rqw1BnnxZ}Sh_-y}n6XzI{ltReP5y5|<0i@r>fq2in zZkPA`WA(8N$BezC51vNHa{G@9@5G!s@e*hwOW}qf{Z<*CQY2X z=KM4Lio0l<>v35%sJd@_bHN7U5B|uu!t7y9Xa28#DZdD}2U{;t2kYqk5o|i~%WA#A z2Wz0du5cY7l^uzPXhp)Ibqf9;kH_Y>xjT*JBMLznfsG?93L8UOV+$5#oXZnQ5G1#tnXx(14+d-nuJ9Lmwt;jN| z#r>Zc&B8trp0Le;txM&z<5+tyBITk7jw_DvV~zc{HgiQRi%Dn!UhkCUC5dQCv@BwZ ztO8CFq2V*4S`EG^Rd5Cm!-+(C$VVpDF&f(*xKD~w_pmJ9h|X&-iN-8eL~!D&DAVGm zD8}Ea&(G#GuE+OFDlzFB;RWUyNok`RylQjed2LAn%48UoZIz!=3*`V7njW>epgaP z1M0kXsQ7U8d6RH)8Q<3Zp>C7uCyKUUy(Uhq9wf$|5M9smvHUeOR-EtnL6o!iE+KF4 zhrMOs-b?l%9?!R6cLvcU*|$WrUAzfo=K3?aJy9F7*u)j-T#OWE2@%k`-J6<@;E}u5 zguR~sIh2dc&i?F|@P9Uc5}Ak%iMK?Jc-&^&Sw9;_%x5Q0v3WmEIB9Y3^k&p9akr3Y zW7YIdn{807(@Dq^Uu_bj9`RSS-Na_%U8vw4-wb>SbD{E39sV2rW{30X?H7@Z5?CqU zyq_hUX?t9_N3tqa?J7nSwn!`*6Pqpt!f< z`}{4XYvO3ZX`^8A3-J{Hm6(mn)-nOP2_{WH@zQm6if)QcNyr>OL5W#8?L*yPUX1wF zcJ~s-0fK%FX{PnAmUp%orLdNXO@tMq)D7pg38sIXh6G=k=Y=YnDYF4Uij!TG^IXuA z62}uqwSS4{Q!qBQi4StNc@AqF7e5L9E>0tyfk4C`VBRjavk|1j_$jkKSc0wv;bKT0 z@&<-zGt5kCnh`i9o+8!RvTO5VsHXoIn9Z7}Xz=otsbV$4li86|$?EAk>4JQ*k^pAa zv(0mEhuD4{(dK>s*Qm?vXMS&t==qcBc7i0gQGhe_4(_Y|(E0@9L89HP0P#fdG9)bq zrt#h(p^Ysd=vU%j2o7w%JG*Rdl3tpx*m&C4Htmq}pzTh^Ei&KOpaB(d8Sz93|DCuQTjzWRqs=!F=lg6VcyUIEF0m(~ zCR`snP8?wTs;xd z)o23sCvXZicyxZm1r_VEx%xTI++*HfzEgz0;GX9tUo%mQ$qB%^j1Y#;tqCLNx_STb z-^|&ByqhByeUJT5?5D5o{L;@wf1Rl(Xh^t)Ju~-d4kc<4-3&Y!{qLorOg+*}UgA01 z@D#f(e1=g2B0y!@tcvHItV!f%>^oJ1_@Q1?jSyv281eOqvG6R&YrS^F!<-)?$2kwe zB}e_!Hn`V?Z0if|u=y%`&AZi-B`AOYMf4gfYzE4mie?=x#zy+1%OU)AOP%BU6=eVpfv5dN~m3V#q@%z`k% zy6-)S!OHXR>R}glgExdGfNu$6lQQQYnK^SZ=Ho=Eq&n0O!gIds{F=Io`>phF(ht%8 zl$F^AUecM3E+1m9qRj|rQICXA3~M#{aSaI6{Aax;qmMCH;F`GWDB`ToJRIF`(tuda zP%^G{cm(<5^dgitleLLo_-lVv3Cc$#V2aghaV$}_`?`MG)7>^ypI z-bZ*hEQ<4(;Tiwc!rwr}+Km89=3rK*aiQGd)#;;1Q|^(GsFSfyV%R?bCy|*-iuyj) z2`i3vVyVti2jacD3BPh^%Kml4%S0wt-SJ%=$l*iX9gGa6M<}$8?Ks1Gy!U`lmDxy& z3))gS7WsF+P;;XNTCxeGY~m8Oac4fjw}3MgINBk{wKWCxlyT*+Cf(MF*M5>M33`N| zn~s$mNc!zZJ2vWtp%!tblBaQj*e7fwNuefqr*i#PwByxffUWDi_4Imsi6WriL|0;? zx&8Qa!s0q2bQH$I9|*K`*(fQi-YCge-YB8Zg-TBJZv1C2W6(o@a)+1?=LQh~1J--B`HNkD^b-7%L21B2I zrTZUAFMz_5NVe!dkVu9eODblbO040U3NCGR98 zv=ZDKK+!*HH<$>e-EQyc;R;TnoxoWDC!YmYc>tw3g?R0Ik1-u`Rl*80>D|?t)Lg$| zXud9dM+aAs!TDR(O*rJSJ;jV%;2$+o7pB<-*T}iBqob8Ykdh?b=+j8W{9cBYv;h89 zXhpMvwqYMq@6{Qj?^}e;9o8H`+&YuQz(ca(%F-Nj59)~0KWUf32OzoU5YT2YyTFWm zM=~#o>J<*(lzf40ls?jSmp&vH!aSv(^cc!5{cYgfC^X`j@sD|O%qHkzN{qC_VsxdJF2-nFgwC1F)Dd?xsnvl($!=~f+FQ32zL#;L z|10c*v{$0v&J6wzbHq3FAULrd;}Pn3cWq>pcNB(mRC2@~ppQu90`%fX0PZf2*;I6; z_+T{jWNpu0NmT+#ha&Bic6LyET>Bm-Ufl4eI=UlAXOVnEN(b=YCnWczWkIfe04uG; z4#<_GV?)cQ*C7MoxjKg2VNY*-D)Kl%nKjqZD#?I+Ed0vUW~SKVVf*5fG9_nT5t^{I zL?5WFaw5o9S)r6v_`|C{>kZ6)QjY!-AGbkCW`_x8%@60o_|m$nKJW1!Q}_>vAsBzA zqhO&EE$dhM5|5WzwX4eJI@Dz^B|9jaf>d@?1GuB3k}nMWIXHR@R@hYBc|w9?HlocE zR5#C~A4(rdvwB-4>t)=IDT$b33Qqz()U=oG*Y=mG%C@o1W%KxhbQ0i1v`KbQ-LCxw z%uM!B5+*xL=>UH>1rrB>5zI-}3DoxTmdlQ<&xS{LoRBrb^JV==oip6|vyt8Cot-yXev+#)F83yS z!X9Th+~jT%iusK;4>Z7=WjE0-AwFMo0iVAz+O$I&2)#$1M>|qlJM&>L^hh;pD18ag z4#h&{h>U%g?h`W5d6c6PEr%QAN!cAKSGGfThHlg_D%&no7#GNL_pV8$GQ0B;^zn0d z2lq%FYe}YxPS))A+#qQICe?5sj4v}heit)nEC=|t28}6Ll605M$Y7M1(XQRzB7I($ zmg#QyCAA-!K*dViwTlY%iz|R9ZkOGw>35)GQF^&DbMhTOsgl3+URAU`qkd>$=#ljp(OnRdnDDcoEkzGw)+GO$4W>$3%L&{kv(A{QlOj!-KN&C>rJ?wUS(iPL$8&W_lp8F6i`5 zbEy%W$7M=?hE7K4Mr|xuaNtSxrDU{F`ke3#wE|mU7z*Ul6n&+WPcVNZRq_>S9oiA= z8xwj(#d?$IbHBEHM9EfA72^hagh=P%YB^BH6Qkl7h=E71DcoJNt3Z-N?SpTZMeTpr z+~nSgu#>jQraK$tjqn10uykv?5jr=DSB#XdN}os>a-6FdIwXi7y$ZQO{>5pT(rSMw z-zWD%rvNf#E^`E(M#H)8wC6!kZUB5r@?J`qQOGk#DErQV%c@u8L`;KROO%JaC4b&` zUz%sI50-=3w8???R+>)zEB{A+0gwWOq6Gf=+5;(IQI`J0Jq|CIIjysv`v;I`oZ)^% z9@?zqn{=ds+T$&SLjxqL6FC5Grdq%}S5& z<_db`^U`ax2Ck5kH2H$GO8!H=Up^pxEX68rN>dGU=R$?N%^jWJq+!A;aSe7%sDo@$ zq;x!$?&vUAU=&>nh8z?XC|Z*~l-o&f$@YW&h4;u%NmYDtXNLpL zo9Bta2S;VG9iitps@qw|2sdI&{$)sBX8tHa)y~$0P4f?jMUb@AiDBa=o zSIlok3b;hEApayglwGdOJxjOnmFFv$De@VI6l-%F=~elRG*^zJ9arc`JLD%7UGh^3 z3#>#MX zS9i}N8Bg)ABoQ{IN($v*+Y3^4B0~K_aTW*r$K^`$_S4gHqD&0(U zJx|RxmB3HAqx4)IheeCU;p%ORH-Q{l4ta}Pb>L8C>J30vM>+}?dlZw38?ufCgT+R) z(IO4dXZ=Tqc%wQQiW$jvncTBQ@lARIm^B=goLYS6RKd&br!J@?=~!Ll`bAxc@1j2S zj>P34N--geX^)p})j7Yoxm-J=iS|=>d_i@yNc3cyu`s6jU4&h{;xwkk%5+y#}=+g4Hj-tYS33?i{_@|&BW(AWA-kZNokHMmpWZ!>AdZ^ z8T&)rXG3fhkwS%J1w6h%z50REfIENwG1XJX?DWFdNKVMIQ6q~-c2-hdfGAa5=%cR0 zY8%Lpx&M@t!G8Fx-gB~D*j!X95+~evD^Y{Gy6N@3GCKFqXsVO&H`M1f8jae%-m_&)ed~|f1%$jv5Fx1 z9lO;d({jC_sOqmw&kJn*`AA$;=+YNxi$?eo{!HZ3SekYSKS3lip6=+uEZvZ+EIDec zE?t%R0{@{5X~g@!{P1O6Zv+3O3_#|$%i4Ck<^~r9`UlDXr|ojp26T5}n{w{b(YYru zrhu1sYuDPsJRnypV)s*d;sYYQ_%$9O`I3g*3$uz7XVqr-??nD=&e;9Z@4VPCqkO;r zEZ-Jvaz0eii4K1uIC9h_@{W*7uos=1J%_OtBzRVN{Y~qf^V5$ErHXSMW|D%Db3|Q& z?w*Cvm&vESD_Z_@K0J#rHc;n<+LllwRzlBhuccWlTIbCQZ8i6t6{%MQeUvD|vn&b; zas1Bk?Sd(+3E@1?eePeu%@RfQanUtWb3{Y(X2PSU%OUpx`&k0gAIgze3K8DhFKC$k zNy_klvvhgOn{7VZf9kV${N)*C-CsJGiubM*b@J8`i1>EJ9wAFXiM|SXF?-4J_tHh7 zlMuXY7Vc<0xv<+{K(xl&GPlFcws{^hBy5UrK;TVp`xg4&neB-=XLP=C*x_}WKzxQD zAM^y@B4aFA5|boU>j7*N!UEI??{{1Tv`K5{ZtS~I zela&A!44wR2cKKmifLDQu5G_AQs#)6i9CDZ18Piu8p%}@(tg2PJIf;v1ob=OV0{a3 z(2I~h)9TSw!{~*o0yWo%)V`&)pj(P;>A#8tUfrGdz@{jTOTD(IKFs&I`+SP?_Q7<%lJ3(sRVzUR7A8Y)qzE`X$U; zp0+%(5K!2*EL^UGA6U*s#UgK9cyc)j98PXr>|9PuzMa~$+%LPdj9uEYr(d+N>;zs~ z4g(K)7%(4t#=@_7L`iLAHS#7S*56R0{^B*Q{tvFU!c4OF>J&LyT8$ zFK8=eFWp;kS-B5L@BuMlCvlO%!$TOR}HK-2$;5 zk`l^rI@YK$)A>XZvD~)%V@!zA3sTO?wS|0`Ztj)kNb9>Rj~5!2KI~mvcu8tnQa9@q zEBd4;GU@UXMI3raM+b{fM)!+V#eG%tf|jKUXJ<{<*ju~a)hnX4G3#;uHQ$$BOY7HZ zH`Z!iR8*q>U4fCRw`tVB5$+cZh|0%%U`~_dh3DY9E!&F9)zXl;frC57Z+cpujxU(U3JdUZI!dFmX&c#zIQnh zXXuq5VV0-xkj`WJfcdO)4FwFZIY~SVcf0N~6}_ys^ablXm+$_F#a?@{zERV*i*1=2D%|zTm+1%uZRmrtd-2`}Sdst@4lM zhbxUqUFf$8JTU%0jUHLfT>d2;(+u!o65HWSK|x{ypM;_>#pt9p4x{$#iXHrC4<}uY zYb&15aIHJT+njz(_|u|w$zP-_xDx3U_-wyjG!tJ|L!`J7KI?2IoNEj6R~=}acMaUU z9;!JATZVifvxrZz2>l2p4RwSn{)&nOS!z0Q7NQ+xx_oKM%|JKOV$*tw^!m@jV=-%p zmFtr0kM|vh=uK!tZeOcG>VF5bWGU=C{fx6>KT_pVa z;)-RGNOY4pG`HJmA?6p4Jv$LvWuQaYKUaj==l5qe6<9Y`o&9SxqH|8a70mBSl7Tu$1l*o%5LKYx(uu#coDaC-PVOM zG0RwXoSE_5%+wzYIq`*(v(qgAwdu0zseBW?EGrVf0^1-D(6>8{DT_o=BW`gn za6|AW&HqNmlugl}p_7W$3w_QIxdMD;)l!yY-L^UjokyQvJxjQ{YJqI`6xKzo27t#` zy;I7(vqpdIbY1;6AFNSnTd5Mh+6W(5HLlsEjD(*h%wuaaAHh0Sbx0jC^OCQ$zBUV7 z&uUEi{moCoy{l;f7e|*gH;e|-23K*+f0cYt8;a8wOSbuBb~Sgc{+Toc8@t~Cu<^}} zo0qpcRe)FOx7xEvIHPB)eM)WgmF1CDy7Mi8r($q*Y4!1H&T6V*KI|W#9l-3Ax#|(N zgTh_S^h7tdtgfsMD|!gcUR|qoxb0x{jRp;S_ZNEIh%)73?VXfJj~%|?Vr90;4KTKw z_$NL5z>udNF>Cb2>c>LVKIv*FJbcw}l`u25T9LwD?ZA9q#m^aye_V~weXvRcTH)Jj z3)0x|$Lg5>-;H) zNj|;V$}l2>1w``o1igc5!xdJ4dH-$)yo&zM(0Ru-?YwckX}Tw6Zxm2Ah=5yUDuUtw z1(YGquZjpxM5ee9_g0`SQidR-El@V74B4yD0;RN2po{`#i0h}n{L%5s!vpR}vAGE0v_HkY;YO*7z9M_7am|XU0mC&XLgE&f7*t+kh;VnMDcNK z3?>#2C_P36*U=bjuqkgzd#;_62WU|*E7Jkp-tEe4RIIr?z<{c4>qUC3(z@ynhS+-s z8O@C(C}kGg(k|w<%=L(fW^TeY9hzOM3QlV8(Qd)75$ z5&F4rC5rG_3u^;u!rjLlA+!*}S*M#V*Gq7pEjJqS8A>b{$9=&CmQLNF+#|%h<^Q1$ z;7{7Oec6R8OD|`4^rk6^E=LloI^H#LRMbek-nD&yyQ>V#^D(_cgqcR}7fi2Z(NmzY z*9HHt#C+HFoj2Nrndd5xd$V_kwHc#Naq|(UxRymH2(fl=o6q-tzFk|}+|0GA{t|m! zt@&0x>b&gR!llA?Mhhg8*SGlPb)dIyke$tSVfZt@4cGgjD3NH)O_L(aSIU&8e_xMX z+KZs|kjA)q9D#1bEYjHEEbM z>_^lZ@DJt@Hv~LDtp0Xft9;8@E!V8IeWx_1+rD+R0nhscjIaa$^)B|F>%FaLo$;HM z)Q)N`+p>;iMTK*hK$qlJN`Bkgy8B;U(HxaR9FCjZwhHyzWeSLeX)NrHIo|mC_GWY(g{ondyYhN`~ccubYdNgZwZRa}EFizcF%F_|A zP_vzC*2v)hb#ps&b*H`nk$l3hS24JXHhu2HrqDX=D|7AMw+$Zz5@WU(H2&>Q2&6Z? zzFdE=xQzksMf~kE{)mU;l3N3Uxoy2kaND+y-fkejZ}6*+LA;8z+LeXtbK~Or+_`D2 z%d{Z<kvZ5Rczn*3mVf~;@q{0Z(t z-k*TNvhc(cZNK5kG^;bNEWHAGm>%zQCk182yTlU!9hc59u^K;m&*c7Q?cxo(M|YPP zO!VE+RMtT>{#9}79Af(Nyy2L}5)G?9G&_KI-ST!;wbJ$7jJ-j;UPV7%-&ghSWVl^7 zm3ILbq@UAlXrtvCOFGYMN@4#`Wy@#1J-hap}96<@H?*{ zBTH3=OI+VjRv26Phb zEEE0`^eoNhowJY7(C;Evs5L#pHE5%IZq*Zc?q1*YR)c>`FKZ>Ejht48N$N)SF5nKF zGa#1oFfVGKCTub4+Q1_}R`MQdaN+O3mvxBn9^^Yb^=?<5rxVyD-m{s1eC-M`5w#1N zVSb15;v3!VITxKMxnQcJa9q}IQ?B0ZsYNn{Z^_+9KYGt92FufNP?FanRojd&tzG&` za16J+?s$HkU4ZYs#~2O%={2SgYn6S_4?-OHQiq`4YH&Xv-F1*}*x=-pOEhtKwI5?2 z

pvI-*kZXn0m!p()jJ*8ke` zT`fXtxdqCI<)p^v`CL0f?_cZYqSey(($Z7xfPH1#OLB|;R8_$TjR5}~pVInv3|K6D%4GAG4K zqMD>tpE9JDw4z}XNaPS_FbheYo3sOt7dXa`$JR8emj$I~flbxVOO+2RS}-dqr>|Kh z9m|=Y<)^A=CvK>$j0c5F83=ZKsN39sj#SqF8`%Q`31-TMgHwe=A|y@%?& z87$$NzNsuByS|nqteR4j?ew#3zam3F}vOv`*g}k+EK8sK`lDi-5WBk1js}iaV%hv#5y^# zu!u20t(OZuDI4I^1P`fz3=@K<>rhSluv`abmpaltX4H z=iSj?Ko)R*OdJ-B2yUq&V}TynW$2~U)9cxeX<1uU5Em>oy6cF4RmJC>6>M*@MI9Xr z*k06D`1d<0U8h&ZQuZbfpKGPo$u08SWo680W#mUtSEkOaS`F{F8&7?bt}}Fa9XTKj zWk=D=VuNfJGU@T1@G9|^o-aL1&BW`*RDmaK-%jDwUKiG}5wyf}Pm~=v;(2@0M8PkI zYihWVycv_z(1Q0JknLZcH>hlmGJ1w(wUu4B+R}IuyinaMwH3kg{eRed)9t9T>|9%Y z6FUNK`TSLmtQ+tz*_?8bfB=a$zcTd=wJ1OmLK2Bck5Sihp7)(|t-W?gkeSukF58uZ zk`%F<)yy@UcXsF}KM7Iog#6#b&ZZY`WC!}XkUt;Qiw~bw`n#iw7ds-P^kn`^|3q0a z#s)8m50oCKI`ePGL^7KD;WGjG(i$t?i0?CoxTO`in^sVgZhqf zi5tn=Q^tYsnEmC9I9d{9a!vV!im&m?l#zR+fnv7(But^Kdv8M=yT&2s4T+@4yQnLK zd~vR--YO(hvRrXLT+`zaV8E_VTYlc~Usw7PRks+S`X2SG0T1HbFF0R*OtvslxWbm& zx_M>xLWto5I&(8mY_Ev#zZb7qDUz4|Ohia*#_RT8q`BHTItRO~J#1d+J0;BQe4) z`>t|NPDYQGXcc(-CfRk7*{u)2*#|Ddv(5BPwxq=eDRZg%~T$U!f@!7@wP)n|B4<#)+Soi&eF zk|wI}O|f1NnwsNratd`jr#TU9Ket`6D)rf!*$09EDveD2U zvjzbsTAPyq-QHCWOwc2KvDirvl6}Q%M5ha*&z2&7ief)*<5X4hX;0yXm#hrgz|H=O zbEPjK=}ONrZP?au9U)>BZHsV194j*VK{b%mjyFz~^kd}H_a&thA^ms~^+4ngFEVp=+mmWwy?nR zzLLlOwy#Mge>T&FrfI*xI6R z5?XXvz+f4&H*Xu05MRv$jSS94jvO(P=!?h+Y{2(E39qD4OWrj&lyJh{6WZ1j@o9*e zz<)*6y?;*84nC`7Toh>&Bio}MIJK&B=JqukHQTbpExiPUeCJ3OzbK0XUc~zX<*0NK z`>WN{$`-z)zjwT2@EHG0&RZfTdbw6TaUb%R_s5Qo_>$_sReqT;RTIx46 z?(xU9w{U8z|CM@nYvduYAIiL=-_GPM)|g^a>0-vIEmo2;EJCN2#Ui{oGGU0euHL5i z@Pf~Cbl9NI(<#=;vEmNN4XkG#4fy{2F>k|9NX3gyVt%i?He)PlS|jGJ0k}C5^XgR z7Cf-v4z~M^P4TXa)>DeT>8L1kKK9Cn0i%Ig&Sb&pwc>z|e4%-X1@lSFF}0eBqo-9QK1#f)5vI=G>sh-V-|hQF#jV zK4on~CSwIXYIOwrnJ)YNYY>^rQ_zx&2Br+0=tre|Q%6{ZM%273V@-`K9gNQd@g1GH zN(;CdwvP0^wvKjIgr6J`J8-;kKt*_DK|Mc?6TsHaCvg%upSJDJu!cj+!Ole!4O`xE z2RhH3VPkrxQX(!H>9OBjXJ*;1%Fbu=r%?D5n#n$MNx7RHHs9K=^8hl-PP0y#QtS{t z4++58P%lhzO=)A~CAb=U@T-tXjn*dKmOSNWWR7xNBw5SK$S%m9^sbYAg;pyqIjV5^ zX|k)mN*Q@DxexW7M6?4@44d7b7G8{A7)uZmrcvTu^-o6I`ypml;RAF9h2od={w~TN zpt=w`pFKuUA~SLfj)>GsMs*0yf|D?b>}`F{%o7m}ONb~vJT7?X;bFO=(%Ku0LUut! zn(ApW`cgwYdOtYgtv@B`j*1bXBPhAIN@VP{sbHE0Q-xM&a%NdD?3n_5Gvk0QRihM;n3+%9l=EOm3vLX ziE8vZ)mouAH0QKzAJvggZ81?dl2zUBkPm)-+1DS4ga|uQItY2E(94q&U+mg<;1y)T zTDno+LN@&gxhRiEkMBIjj>8rlzgi=2-TJ@#vxd)_l~BjPlkqY1!aFUy+~*3!Pf^6G z5O;~sNKr?s>xmZRc&oM!i0>ZsyccHd=(hB1eB^217o1tnE_j||xv)HXs*l)>?g>#a zfr1oml*p@2-m^;eC8klj2_7NkrdlRayvMySeDYZb)8X@;wm*h4?loRKVE z$r_?+zzc803-tYrQ)n@J4wq zwKs~@!wZpnBCZq2vuT4FlujP(JKpljmC7E$?Q8?u>J6@R!f6BF3R$k`DQPiPmpmWu zgjN$dZB%eq+}ZBZPxh+m`9?j6Pkx-ff}TtF7SzGr*JJ`@hZ*%wg8uTfW>s&~)?|OA z_%`Jk1y0=hcxIxdYDI+-F~jp$rrlNbR0&H|{(xrbr)PbL+n?R$6;O>)_9y} zB`u?RGrT>#H_{FAy#-GT`hlbq5C$&%2CTSRy!#x&uZ2SWp{#ZQBxS z%cCD4-|hyWRb&jJhmk9LWcfdlO*>1u$8m2s4cew8motrD%!fM#1c(#D{5M{A}5 zX5U77DYm@F+A0;pt%RfOc!oOr8+fl?t+EQ))2^@YF!UkAbGg?233!v_GV7jN*B(_i z&=cD@&%t}j>fB#JQ-X((*`ZZocUsM<(wp^#&}X5)rSL5>RwSR17Dd{I7r^wVsvi$V z{HHmon=$mDUQVt_rD%pe2B=x9*&OgIkP$SHulAKL`QJKoSg{~zs?b3-W+d*vVpU^R z&Xa|+u6omvn~>F3q+y$SrS0-NqbvSp?TS4itX8ct!}B9T11ySXYo%S~L$u8AB_|@P zdU;hqafDm#=#&k-sOn*%c)pum+$)x5J51|V>@mlBqKOc7iw!9J9qOW^wz9GmS&@-* z1>*#!rN&KK2>O~@d5W9kH`rV;(Ei6TxO+{!S{;ZskO`+?8|)(gdwYba{9B2 z_ij%8zue_pYnliHp6IwzSypu=0`JeYOgl@XDtV&Jv>$=Fkff@)sq6z)NEJ7|acKqaqL%Q`z9SIKbFYqy><1j2rC%hk3Fm8O0kP*b}Q24#Z}8{&*F)L z`LJ?xb7hoX^IRY40m9bpF;hI2x@Z~$`rcY7x_Xhf`kP2Pq36^of|J^_Hj)jm+%>!| z-4A?Qet+W?D7xOim(P0|XfeLlM`RwP9wq7`8?*0o(D+;a>FSXyv)3HzI~2Q=we5U= zBLDQTV62L0@wsJbK!pPkEUmadY@j4&1n4ain?40)|7BhD%r!+Qtu>Ga(!ns|xKPAlAg zt^WP4SIU@s8K!6uxk2jlD;4{vzBIn4KC}&U+4z&VLsjL#(4mA#n zE(jviXINB=r;js+Rx-9x9#HY7dNOO>x~N;BI_U5(W^6A^k4vFjbbD)C-5wfJNOR%c zoO0J!e3S3TU*K=?5%lL|^#huP?1ExF$XeN2dl}XWCNIm&CneqMAm)&#ux{b~Ve>9GPf6M)h7F9=VU$tF|*D*BPrDs~5 z8H@Bfa5!}QnxzMAMNEb=FsULz90BU(W}6rB;p`QeT-Hrbu%4y(={rKX)wH1t8ZpsK`C(ff?|MLcAw?oev3 zDPlxi`#nGB(CMgNXUp<@>72YxY`XbVuwCN@83}bEBu%`Wx40`f$hteKQN_s=2-b5S z4?0|M{DZK-^t{H|R;8S+%(#Xtv8qo%+Z0F6J3ze1q-7+cD^=2w{w|y`Wd3f{!1X<@ zB&{kJF{;fP!&Fh=LBkk)(aPN1_tkPESfA}q-6iDa{VBu ziR#_?)Tn=8E6y~7PB_qZ zk~+FAb#ClE>1Dq-ud1X>r2E}3SwpU9u4Pmjg<=J%ddoQ%PDDS^==he+-1a7#1?UKw6fwe=qrjK zCYsJMzukt{Q1oD`>K?9YO&@mKs(eL%3U&6nK>D04k(pp!N;PBHe_6yg&R<0>M;oJ; z+0F3)%0!~AKEkKGVWjj3{GeDlRCa~KNncDpkv&d`AM&*!Yw&|9qI(5CuLuuwX0mkP zuE??AG3%Oxsssp!+ef34*G7>($ywVNCF2BhuIKy8Z^_sm&T7=5q-z`5a~hdsHS)J` zG{2?i*Chf<_s8|t1=*{xHv%_$<~p>=hs$+Q-(W2&IpJr3;;V&hoH?H7417syc!3b` z*w?71Yz&D#tr6bnE8YQCS~O-pC66pCBb|cCKcwUVIjg(A2O7{maGtf#8S|IkgdJ%> z5hj!$qytH62Lz4MmQXtd~# zP~jmXCuWapG^)Z2@VGjADLVz%dJoW1_>#CMCA|#9H39CpVCn`>TU{@_SiDN31BTSL@)^aZbLF35rd|{O}neI~_NqH#*je zSh9W<^2|biU@r3gZG2X}&*H;q&g}ELv@lmi>EH9KS376D zl06o%W2yDx6*hY3*=~-Wp_wCbqsH9~Pq~0ItDkQ~T`GP6bP?}Wsf43Fbp)elfhQDQ zi~T_&hNs~bXH^{RbvZT6db@k-nxW0!xl6(x)W)0Yo)xKjzu5yK?v_MP(l?PW!~sjE zJhI=oS*7*cXfn84f2PX`h?7?21mA;a5Or$ltXk}GUvOO^rQge{!4oQ3g%;UR<`=lx zp*&|TWi~S^R4DnOYph-63L(Qy!EtuMC(Ic+Rp=6mcr7b0dXnFAxbmeo_I)^??1bwD zI9|l5Bw}N&sJl-k-*`6`k-GxoTU*A;zA!(( zZ|mxE{aW7LHF?czW=87!&%GOUMOZ;(gDfp7y(>?uR1U$mgpbW31BToVK8yuRMk;Hn z^ID{gbnaM7X7hcKZb%|kPu}VNI7fbzPsY~x9dZ}$O1ckxx^qDB1&K%AFDc9uv z%&J7m#CWk~Du$4HqVJdQkXS_dj_*7270(t@?!DH~U3z{fBiB#5{mAR7^FzKr^!0FT@pG{4H<{Np|Mb4^;q%TnW|gfAjy6{B zk?XALz<HuGv5&0%1GV%A2P%0>DnK>%B*I{cr`2t5}B>?TRva zBo#r*;JZ^xUEv4By{^2Pyt*?7uaymm1W}LU$T@`{;R$2SQ*I*5Q=0`1-yHn`x&b>t z4s4R!bvW8w)p}mRi9pKxl`3boRPaN$|A`Z()AdC&8k5D|&ba#nK7?&$MB;5b#CieE zV5G{h)M}HdGrXP^s?RGiM5AMm1z9rp2|D^Yk@F4ZxcAZApfXc>8%3_8YEoe(qmd|1 zklvw+c;HQnF`Rh;o1;4ueB~&MwsKP`Xwk`_%UTO!k6xWK_3YX1-I}{fI+Gs zlBD)6*W#f$UG%d@4}?t#DQ)irr+2@wTV}7iRI_i2&sf2mvsnIsZvR;$E9aLH^|L=j z_m6sUH%7_K(13@lrO%`r-ZC!RtureSpWQkx^n#P+c6HH+#_#;;?Q5p`;KTJ5-@pCZ z3v7!I!;ypg?W(`^Eh9I+nAhK+=E*zc2RWsp&)LrSNrT0?vh>FZ+!zkyPNyYXJ6TOuwOLRpp#qneHBkn z?7OsZJW=E%!J$9gi74IVDU*AvH&^VlSFCVbacAi+meN@Niu6%z5p&?F;p^IxMb4>5 zBT~dl;4x6wTeMH|i{baWtLoqEoqrd`E-#v?`Z*TK8QAP8KIXn+v+V=d6d=!wv(8L} zG4Wh!yTXdl&y5|Q6G^#+uNhAlHiBzPOBymVWKoS}44>b2t#wEz5Mc`OkcExys{5xotQ#3Lm z(CQ=nE#F*tC^phofa)`bkX#RWU+CD9|LWJv$a9-aBHh$owh?}5fiD}Hx(4;xyx`zL1VI1rsz z`CXayv+mW3_7UH`@Ef$>OZS_R&9>X*4YF72(h-rDtiASD9#i{@(U4US!ajZZdl|Vn zA+}yT*YC?ni}8JQg?GpiykY30${|ve)GlKKb8-$7s6BZ~8e^Z2(4)NEZ>!xnr1BND z;`Y*=fwrUdCE^;WjcCBQO|6U@wpJh;!UP)Ri}Q^Q-uwQHx8LucSw7?Wz&lNT8rgk& zw%O$sLvs}h$8%b(v?7)jSyder^|=YO3|x_Do2axOh!#9R6fMo#GnYqC5%P7m71!>O zvqQYB1z`=;UG$Z-y)Hf>^qlyC8T`CpZK;V6&FnX6?G}%zUj{w&xGmbG@nzMnPgLWZ zE8(nZ@Hrx2Zd;X&7C(e@8Nr1+V&$%S^*KF?CHN|;gXP;IIx}+!G*BHZ-LHccX2s{` zDndD=#De3iFS)xYn4-hR^K3H~V6ZoBW=zSrcdVz-DX3VpE_DqHE+Fp;8Z5pa_QdJa z?1cmfCyZDz^VL$*k?H^uQ>-YOjX*>kdp^B01wF&+bv^lJHp;A!$^QZm*DhZ$jUY2Y{_pV8E(F>4y+q%mQb-jlU-`mU4weq!btCx z>(3Rs!FC`r(|ZsrnYB*&Jfao0zyRS~5gk*nRqrItSR+OEn>=HLwGuezo{n&~Y{7p) zEqXsTTz8o`h6VOm+?04_x{WCUi_ED#S>5KKyLW1pp%|a-k$!ycI)XkRK3g&++n+qW zLA3F7K*h#x8CiO@dOw0!B7DAWeu~zg3@Plw>jf-mWC+zzh(}FSp4E5vH?))k@Em(Z zk(k)FM!xbhcn0so+Qly5J&FfDB2&NCuVA+%{j`#<>?MSal?|*p^bCDRkGuKkWA&H_ z0LiqY`A?G*_yBQl_~j14*6@gwnebF1?oa^Iwm`odSgZ3n%zQQRBm$U5se0=@uH7{ zl6lQTdz6;oGY(Rf*u80_WYs>T+O6XQGY4@?=s49DgzYIZQyf(JB`kyX`>xZ3wF6(FqKjkXeG(bxF4k4i zD~p!Y*b2@T7Tto9jh-u}3W|7f(?TiP?RD=T8XfW8gZ06`sRp39B0L(=T?N`9GoM)t zJv|-mgoe{{&alMNqaEHcqMzVRncdH|;+E(aH@Ic&O7tDLVD|34hkc1AtXQ&)CoA7m z^AJ5q)bF2?AB9$><0NMnMpX7m-sTNva5ko*hAm&|Q1Z1{8nIXLL}$b~qGiC98ksww z;?Mz#RwZ5%@#w9lRWxAbqw}63*9)J4V-f9O3pj0&SF0~m=2)7iRwu82y$9MU@nzTo z);l7?OV%}U`lihT^Z_IldUAfsx`HZr){5g+oOyYoWV`goX=qOFj-kJisrLUBX;wZ% zn@01*nhv{jwt9D;Rht>ItqvJ`4bMc&_mXOn*frRy?R==2$qKyY+gOiAp2HK`ip{b{!sdEHMV@?GP+jT}C0gPBGPNbB z$|T>;w8nc0*PDH_-az;iuNUSCRi;IzRrJrr!+vT0b|svUtFOaWx}$g! z&8+(LBcDSR+VSmtax57m8SWpPD=VWTQMpEx+dZ-#p~&W*;$#;_&B{s_ZME>ir=jLk z>P)$oIqyFs20$xWY{0_7%)f@#a;ZOSJP6siMtrI8EoWO5?kXz)9eWuq+H=j0PR@FZ z(Yebia=upLEj1>gO4Wsu)`?VEd{2Iwe%B0Royia4IjY3#3hX*HI?u$C)DwWG%(w#D ztF7C{&M%x04q7XJKJ>eZtm56$V8b4%wtwt|qb3vubkbYR@!Zhbsc7+l|H?RZlC%SQ zpFUGvvi*5|nzp#4mHB*cn=Ahx+dpxg>~Y~3?LKZhM>WZ=N`XDQJG3IPJ?qlBixVMl zsNSx3Z-{1+Hufhc=xXc)6t7IGd{?bms+FFZ0pq2f8lIWU5&h?|n)(bMID8`ycpuji zcbwQkXh*gSBn`(xCvVz$MxohnWhfVm18tXF_0q}viS+i6G~Orc6rkhpiE_c4(qh8e zL($Io+;*ZW{=ruiBNp_4{pM$@mS9trL7KMm1wh#IWM!=zqOAR%(dXN>u0F!*hOVns zEU1ZU)wUEivl2wWMRo#F1YzN7R->p7;M@Z+8D`2eo{T<#DH6kjT^Z{weD-ocan?wB z1Pe6mCu3B$AJAllhQ6JAa@5=mZOUE+>Ay)EfqaoiUNm!YLaO_Ry(7&UU$&xv^nKEI z^(l}qHwTIhWx@MhD;6pd(v-abVy5iDtSudKDsj!%=VE|8ffM+YXggmb_>1ybsOu&Z(;u9MN^Fv{UF7 ziX6vhl9dY5@ z`->i!YQwlLrn-1I8soELR{HF&J>|0SJlO@kWqb*i`&n9wc(|lc(SlfwOVtwx-;&M` zAJ1r~(66>i>{vy!n~_fLBiX*F>RROs;pN&}A)9ldbW$k$+hY;mPo})b+K_N8%a|## zqFCWV&E#Ppe+Swnp9H;0&==|G#%bA;%&4f1u#YJbQ(F1c$u*kZ#eys(M^g~rs$4Ty zJiJ3?&gelW8=e{ajxn0T%pLW5=)uu8qf3p1Qvvl2MxsplJPAb~L>lV3%G7ghI_%5v zN7Ao6JetUY29GXsWAvS({zBtU-{lP*lx#imC;I~xNf*|8dS4ykW16g(WAX&y1K{n& zcL>iXJL--!5_LqV5x0C^dK_+MZyD8l3Vp2fp(=T$U-1t%)G0}rnGcTjl7CQ|%Qq=j znz=o%=xgk$o>6J9)uxd1si8GFN7D4AS>eN{o|gPZ*v*{e6Z8S=-Q5@aVnefm|0AW2 zSpj2e9__Y;)}%XEj#<{)(jx9hYpGnWy1Z5q{)~`0xuTUiN@ujh2tFzhg8v{m*?R z#^?Y!sM?l%M=ND7il29dx8sAYAL(&re~C2?oCn(CT>Av?DVsW1U9kgYMJ507EqjIf zo+_e?sq6yz7MN0Q&#eFBZS zOq`i9LT7k&bQ*0};4@<13$;|wr2K}gU7W+ORNwE{JnGMlo`4nCJiZ#0=~1z=rHjVH z(et?{wIgR!$1X-DbYsy`(d3V6?=?0{N~-BO9=NO^`i5%UAR|xG&Hk6Lj$R=6GkzFAV!@ypX+>M&{=4Jx~1q4kp7fe5A+IvZ`-9cZo?o*)~ z^%hd*wj*{zM=YzJv>{!=_+gMiP}{YG{r)sRx@PD$6>X2Z#qd`l*=>_@PiSD5+RJaLz@=6yZswT5{9z!Dn5wi9TtdL@Rw{ zRdihRXRL%YX4@NDbu8ApBGk3cD1ZAZguJq6%GPTu0Ag?4*Xdhg=R}LcIu+P5WQS4F z;j{TrV%Mug1Sztsn@ZCj{|g*g7!jx!@jm!%(Sp!8c^mOT&ECm6ZJvhqxx1(geCLpu zs+AXbQDeWTZLTiw8riC1g@kf4dTN@V&25i&@FOWVHS>hAmgS|X5{i{6xTCzA_+(e! z&z>l0;N73euOOBg$w!3;;#`Gw#mUE}>D2kX zu02s{%G=UYw^%zxKWyT;Y9$vPotLgBSE~n(YEUHhrENH4jotl~o$eWk#}oUFpEdF# zJ3f+kOqVb?muHst9Pgl}0r_HJLzi$jQM0rpjmxrVM7I}Z%P=l+TF0~bbT&RfMfgdw zj>%0!m!JwAd<6+j)Sm1P@_tsyJzBtLMU>?B9C=U+JIXggJ3|^4{Vb5B#;1Qpe6OPD zTyxwWsHx&dICHf=Y?0BN#Tpk*Z@D*6%7MNESCehX3P~G5qN>scSvAa_h}Wfu-9@)S zjzau&XVHB_e7y^Ovj*)o_7~(e?(fv zKYTR{qNN?xFvUMh78myrr>;oMnQIx%lCG71N7u4Tac#GUm-cHj-M$4)J1r^>0?pgPY>udJkDc+EBzcE(T3U1Z0dOE&AL>@|0C32q|} z0#BBWRitKexSjEH*N0Xm4ZR5sX6WOcJ-6jFpnLL;vy9NErx8))a~8wFa4nmu`pEnp%%N zrs@D%wHI+XJQ`F(>9uP7%3itBsprIYVyt(gkrI3MK5Teuk46_x*DhT=)$?>wr~qJ z%|-DURZ-3{&+#+h3$BC2J;r{T)iX-M_v(MFt~2gX8Zl}iO^Zcl8<@HkjU9w^M;}Q2 zt5f8Q`b(lgfHo<@dYaW3W^6uTc8vBcD9P4H(d8rZUKPBa>7)=PA#EoxhBztJQg)X> zoj`lo(SByDxo32p5LPs<#_wpqU}oAibfa!E@^hi_qWzSb3fVW2d^dSY<*C_hd|+Ut zU_|6!7w#4Dm)tGvE~v7{^Q4I=VueT=Fc@??D9I5liQ&tiaEv92-(Fg({5aMjlyj&! z^-_OIk+p%M>m)oD&TOn%T;L?*0sMS)e#MnNS=$Iit@~MZkca?#<4%FnU3HT5y>lE* zOVlz*jGC+i#kPz;P<_bNhrzRr3QsmivU`8-b|xAND}V+Yqug*66n*O_Y9>&4RMsMf z55E0FzH!SjCRf&JqM=Pd$yzTY+nxRH%?kRpwHH;*dXsWtN-jE|r|cznnf|Hwgo<~E z#0(p$q7u#lvYx70@;&6~IoCHObOOi7cIs@jdV%;zf9N zjiiF3e;{kr&j%;4--F(Y@~A@B#)j&;Wj;g)RQHgAt7+t+i zYo9tMm4Cq5wxPcHGT)m(pSimSA7_fLB0}W zp|_IWgQmPFzp-gR&-NHpu|ucpJxDk`b&S??cE}ngqsK7{Mo@D{L1TS#iR4{Y47$gG zr%gViOZt0#;cR}uZ;yw$+b}vg9UoC?_4E2`Fb%DpIO6YzGja6&SM~*bVON>Wu9gIz zD{Ah~cOWn~_AF+4Nc~#*Fn;R_mHU*d5*4>@-$l{9YbAN-L<3<(G<%n|e?%pUP}&!T zEloj1wj&dJ?jWqEuTo#`S>+!!)5f%M*|BHkUdI@iTEp9MhZCl(S>hHRWsN(crFeIt zor3)$MV&8rke<6Dg8oMEEBI4c4UG$p8w5s}AoK!X z*hdOd*)?kFI!DbGa!(J7&;u2j&c+`Xt`nUIU9eJ#sK4H+5y|TOJ+XkF(0I<)KI%=5 zaF9>`Cp@b}IxOsVfkwzb4}yAU!~3N@XWu5^V^q~w1-|-u<+7VK8*EK zdZ0(m{#}oc*B|lIH6`l|zN}3L&qKy&6$YPe45a55xtS`J9=02;C%$& z+F0HmZJUFqhDE=MXPtBe)kf3q9dW9Hp5LKad(Pc6#)ft5S!t$p^J5fRpT{13`_@-$ zFJ;9{t{njrBY8m%0iO}2s;tey=-?yNjLXlsu6uk_zA%GJ^n4;~*{dUJMs3gOz0}x& zFMaT5;ET)pYy+$8EtMjkb`KV{L$NEO{G)+EOTXu#t`le>4`>Y#GHrJFnDe5GHXKe#*<&ikporMhdb=d&=5b z51qVYo?00=b@yoTAl-_a30aZe^_siN|=L(MFxk5So+2EzGi zZExKa52B&($(1DYf$Et?OFpZH98vA3*);&a7yQNN*)b&>s=!BYRcC*d{a*7bW}f7A zUj;}tw812%wsmuC;94=ZKuUc!W=40d^Y|M_Cn_YX()<=XfasSTDG^rk30zOUcq9+O zIUdr-G%_cM)~{ZfprznktaQ15?ZT^+85`+?K0wyHmz}!Op+5v(OsojDVp?;#LK+v6 z58dQq;S)JG&&6A$bFN)O1KZsr?g7ks;H%?y3gUEnVOFb_tYbN&*hY_cO6Ad_+&}Y`q z7HPB2!)qjUVm8bIeoXHybU*J=-1A?8Gs-Ry|Ll3=fcMUF#=;F-{@h+eIWOh+)3dC8)#6E ztf}jBkp9{$1Dgd+?V1z*J8+T{bV8_qELonCXZ_J=rxVW(d;LpquXBw$a#JNCWvbpD zI)n81l4f+x0JvEhT?HHlpc%X>^4}c`BGKJtrt4L0E1RMd~SDjvkK7?)Ea2;2_c{jdBuV?)1<4N~uUUJtm7^=cr ziHIAXq)|rpi_U)0FBJFapv-d#@o>u;E&sM{<@)IAt_xG2Yw(q9;WSBUFV}KN?wF zNv+F!(nH~<`M0#C7*pXbdA;ZfO>3gP=FAt}&*R_}k$aZ!O?^Qt5d6IEgJX25QEnpeklhmlY#AgUOZRZ? zPr0f{@w8Rz*mIS9s@zrdi*4ChHEZhVAex)U3G(+7G(he~(twc3u;1Es4#}oi4Y(N; zY+Y@r&qarg_3yE@{}KQ9TYN^wTELCm!@%oU{5Siot23F%kW}{$qU18B7(cV}LpouA z)Fe_AtnWutv7RWdM(&Y6)5?oaQ1xcvoCrwwv!MT(@3j@aY3sdQFFj^+^i=4oy{XOL zcV_PyU<~z{k!3SL8DezOT4jsnXs@X9Tv3K%=SzoA+rV`3bsgTwMy0D zk`r$c=va(ilq&6e56{YZT_K-@c7+((fTLie%F@{ESCLi)z$5Mbvh=)Fk`@|B=@$qr`bMt0 zeVOc#bXVInxC|($q`ub2iJ>HKEboBOXqkY zp-x`+@YCW6pX@8U39UGAosbFbth4rYjyN@x@C!5}vOJCxbIUtIgL<9s7DrQ5fnz|a z$Zd}(GuXGsW2&w)#ScIQF0>)CLC$Om3ZJeyzas_<& z!d$^JPS16aYVzP<2^bN zAM>nTa6&R+saX%&%=wEoOVnEUJJRJNpDT+J4G8`0k~khk)KS0EZv(LwmJbGyREk&Q z_r!e2ioyCJzBEP{^#eAL=gK*h#}XfpDgv&F*XUG0$%&%FRf%2otdiFlchr6QTag)} zM_*IMs@x~6J5YGjY_6il9<-?FaLrREpybg;moK4YrWm4{=r2^F%s4OC-31*F`hDH# zKB%*|_t~)=-G^WLUQt(-F0dDZ0@HJSKHg4>WR#}0GMn%#d-PE=fJp2_;HaZNytd4Y z9n9xqx46Fk@Va=W=+)6)-3O0NbQzJ=o{`qeBayx4s7d#^WY5`G?Hfd1|LML(mskKU$Og;v;GyS3O1^C-bV!R+V0wx(m|p9` zOQd&*CcqKz6b=@C$9?I0+Cx{>I1leEogGg+9_1hu{sIr+)M0gd^@F?h+|D~R5C6FF ztlK<%@}OZSW^{c-C}sSn;yBBi={z}p;3FtDJ?(h&2XV{teNvv^+H){*v*_XnM?72W z{fejI2S7gbpNTS^6`jwjl*+w76TzYUL9M_P99chybj4nJH406MzT$lM=iR$<Xb%wMq!?(U3U-<^#m zvt6+Edfh#$OnuVP?tw}}eI?d(q)N?-0sY)6+gr<12aZAq&Er z>=B{G$fC^%7O+~^uBJ{Pu|n#C)Ymf}cr&Taj}EVMI`GkIX43z{#t1IRNh^K;&o;iJ z+KwuKqjLt&?khZsx1(dwe*&((Z?5RfDbo;xSG`iDvmu=Q3Nz zcysy&`jwIm2&%o9Tr_VXTe8&H7i+D3EmOLpY!NLNYpx1@7uE^Vdj?(;-NC46LT#$j zSL&$569onhz62*8hd;<(bfSE&LGsR=H>$nQUh8v2^T4ldU8&lKus?KI$%61dKNU(U zt$ln`}dom?L{mJqy)@DU~VESmden6Q<+KyRIpPUs(0Pn)PkG7SU}n z>Jjwyq0>jZlpal}{0knMZ;z;8yB)n zuo3Z?K()awbpGp1h;-9>eq)co->FWhENG#mnw@%|axyj-sEQWICi?-NSNGpu7;J$< z`pfjI?LAw~Rl<+`83aF9UC)g;AoRpDI!1}(+UMj|2$~r7y7V>HZQFSnalLhn-~-{m zUw))+TUb9JVIb)CV33`Hr_@=>o?+9I(0j2cDpOBU??=`#6CIRJfxk$xi9_7715oHM z>_FDS&{=lH&5WWD-XVD-3THleRZFfxR15Dr2F7Wz@7OabRMqcI%u;+}cLH{kD+EU1 z>Kk>INW4u+C-yo~iJr5{O2)FiB1%T9MNWdFC8G+zJT3>8A9Q|1-*hDopUCE0S ziw`^`uH#4aFV8x>Pjk?QxT3fp-ILBIB|0@m4c#~b<8yRpG?f-_R^^~VLM#cr3djI; z_trbIZsA`M8UG)pHU0N~k99)!?g_RTy{kY#H>N??l zLQ27zKuFHk=u8&hQ;9+OKCXe?Z7%P2n@eOvt!`mo@O(J0GGlbcBc1?F3S2^e%jb9x zi+n2V*FO(OAHi{ibSW0~e0_&^wRD6ZaGkTXqEmG>{(SebpK~<%ghdt4eJx(VI8|ke z6Ek{i+LH#z_jhEchCWabZQnK8oRe3{ze}s-EEA()3^$${qfZRCjF=o!uY^V%5pL4; zpmbTypxeF<3&`lUK8tsO2nt{79t)TDHL|p%vptq3)g95>N-N~gh*y(^9V>x`2JaAG z=vTpVfb4HaRT5|NifBBI!5T5qdJl*(1nrG6^SSibQkhNqJu{e`1p=bC^Y@wU!#>8a z_Wjd7s@0X-Tu@NmK7hsX*XRSH-d-O7KQ^`Y5}NO;gy#1f61v=9y{xJPB%MMM zT4U=auCU6P&(H^u!@6U;mBYHKJ6qVf`Pt%7rfYQgCwrMLPF3F;(f8N`pk$ViTMtkkYr@#(E(l^JErvQ#PWfMUy0vatB9H%su!b?iDt}oy8T6Jkk~i4 zK~)o~HR$>!dPlosb3a;ED85^KmKw_Q?$z@1;j@;FCo_96Xs{?~!hNe<0aUsPy!&kN zB|GB0c16{8JGQ`i--0-DGp<#QE3~5*%P-enYFw*ya+w>h0NNOJRYE6xMr9gzZRwt_ zx)Y0ibMe+6{Z`M8x+5g=NRx18F|;!Km{UG2w7VdLTRVrq^;=Kd3KPjF?Sg{J3X7e9S(F z_A#?B!UTyEUQ&Hib#S8NLdfON=|tVs1>v0_vWokB%=`4L`=jgiH&y@qgl-aa6d1r2 z`W~m%&rDqrpY{jgQ^oq}pHC&c{`o~Ou;$Dvfsh1+*oG=kP{e}lJL2^5V#j(q5>3~3 zXA%E5SheOvOiotCE#>Ws^)J1Mh!-%II(012U^SDV!EuwXu# zXDqp%a}f5Zw&S{9&<1e<=-|8Kyq4?*Jj?A0$pWgh@lHre;y=5q)6ssd7nt`uvMuyF zcn&M5n1V7(an0e1#Wi)s;F2$HTm!Px^D}ZHdhNZZ^$YTjm0!Xty9*Xt>9R3GC^AQu zdb+qqyfw8{(SlcnN}a6zE2jC!=fdWBv}k!7?SdpZHT~`|%xre*=lLPWUTp zP-HwI9)}P33bYboyN5JtBvYPCV+Lu5D;o2H5zBJQh>1WvV=o*tDx62BvWYbluERMd zFCLsStlF@|j6dk4Dke-QE`9El%j&!XS6%8)PGA+mD~{rQ^*%|%KKdMwwQ_c-XcPH@ z!O4z@(p66=GT>Yvh{{`&@0LD~$ciN6)S8E?cJkzZOz*a7fYE~!f-G#V3CkN`gkQx6 z4~Y=JzxIRf)xY0HN7NWU*C~+33kr8#5jFizwapqSXZjL_ySB~7G8i?qaJCLcwE$wX zfbPj>=X|DUt%#eWB-WrhTE`OH8YLM%JTZL=8&iQQ5j+rsAUoyTdZkcddP( zzlU}O_ek&V?SYd@G4GeNNidQQVQFcgK%O}y4b{hnk705l+ta?4VtZhXp3)R*bUd0p@ z8I2}fzAye|v}&Fq|82{ms*b0~_&xiD{a$b!kn2HyNdk!3H)N(-E=g=&EFes~%CuCVXTfAeJL2}Q?DdK3^pn7Jg#b*g749ve8XD|X;FeCv3V@TMQRcLjEwC7xES zk5h?QZ+ecp&KY>Y0v$d>&rB)WT;JL%*m(OLHiWtjT7OJWt2Ma(9%qOwejI;7s@gL+ zyCPV}A%C^s)e9Y0Ig-YEKm{dP76aW|JeE;3c(17BB&n%G=@@}g3EM3)*)sB$J z=UZ#m9xwX&P^db&C>L30ySHGBsMhBOZR)eBs)tBVAj&s&SXNCL-E{>9`Hp+@>cPWU zR&&R_BdWv#Pn2&L4=BI065#Hh?OABX&0a+ITiA`E)!-Mv0%0#Y>{(UuTvKh^N_}A) zXqHsaHP5bl6nX@7VS7TSBDCbtqsmCr;g zCGV*G-P;Xu4HaB`ZCa{bYH<$LB)>is9dsN<}ed2fC$Oub?Ji2?m$Aj5FT~DjB zSUn1$1Iz5#m-@!~ta{DG@|K>D)us4T+m4yhwJ9DzeRQh+sG^H?)&Gq*`TKc}%m>(m zC+NwbAdVm5C!bJZwZxC;=T~e*^a$#R9RI~JB=R>On_ePDne%HNQ*1hapEjL;pYa*> z_ovT@$WA{)R$Ywk_NWf>qjlZfz{ZQWz3gqe$#=eL5Z9rncE_r&RpUX9s0*v7#b?xp zA_H=#ydC@SR=ZB$XXGpL+SajfoiaHK$bHf5tCiNTRP}avoTi)V>#+7@UXR7q zf1emq`Ro=;&0Rdw7t`FC8t?#7Do=se-iRehx~ST4>RsadpQ4wHHKlihc#=Mf@0`BF zQ#9{+Tf-SVHRVKxVe;OjyeT!JW~T<2km-cqY~r znT*nkok0iAdj>shJ0{l=Dw@^gc=5v0=L;T+$7Hx&A_p$9j;5BS=Z;pnRu4gJ!Vh7c zrfwc@+tH}Y+Xh`d^uE`l*LT3#*0Xa56*8!W2i5OJJm~r%R#(=_r&`?GwVlxqWc;10 z>&V&^?~5(7ph@)8z>cKzS=u=QM4GZ}8h$38<(M_~X)q1Fo5wQB{nK3;2kucMavhOu zdCq-JWXb0>JbkoHXZY(m`FP^{l%Vj|ZE4P<^Wc)4BID5UK3DH7_if#HhBe*bC2Pm) z8${@B(?x<>=P?ts@_ts1QBi#(BEOD>gj8CQgjMurRD0y~>QtALO-`E-mZ({+yS4VO zyx$k}ehVMM9udy6`JpW8OPtU<$~=HmgU?1Yp|Y+$UUccW)EbYG@N|3=c39AphJw8s zilWkLZBd8j{%B`CuFWVO>GO#nDl(?-CnrJuCcL-(sp!vsu4?Rnqq#jF$20}P!ryOM ziPZY2VJm%BHlk<%OobJo_JrfLg@ofX#BRF80-ib-fH;1m)}R+i%w8KxE7Nq6Gxex? zk0ll5vCH*0mbB`@q$dW+SGl4zT-%$Wm5t6+d(h(%_qDCq%rN9d$Oror6jzY4fle^h zuMp#LM5WMQqV!l%_{J2ua6S4r;w8+D(__+Jov~TL9rMlNa5bhYs;>2GOw?20@qy#V zvtA0tBF@V($*yB`+R_>K*vmCOvbQYmacukApGVK`rhh5y(HMgx_O2%n+wa?z&-dA{ zyb{$mEcI+1Gxp^tmSesBQ05E`Fw%#bMfYSyKVJ}~M$Z@RD zJ^&+fEZ{eMGJij9?r-yC>xAJI!y^KfAW1}B!qyc}yrph`MIZc?F~hDs0$z9sUZ=H| zUyC`ZWZ%13eM zIH)8vr4j}0x1|)>7~jL1om$S*i(>J3?Y$6axTZR7J@j|1qN{G`y5ga*e3_{89zCQpuTK4>~A#rjI7ll@_g zF>k(T>wU5}gC`DfeD1Z4Cp{f+OymJ`X0NgH=m<}?{Rc7#e@#5H>q!)DSTO#KJJy(M zMGo)E?fPMr4~jDer!ogj>HB9wt>u~Gpy`W_XR7iqJSv{) z=cq?k(PgZc=1I`(htE9ry^^$r#w`uO@Ant8G6KJYk_0s_UQ+L(tiq)<6a2o?8+;B2 zPuD8+PuFSc?Y(`v=!pj-0SC_p-^>->*R!=cdG2+_$wwwyL*Ck_QAt{Ki5_XJn2H=x zT^b&eD=tsE^cbIHJSuhXSwa6U8K&wUGds%)AnCLo<{V9y;)W|?>{9_r>+5PpH|{3; zwd`wHRepM)>QP-IJnDspyia&a8L3Al*`AbMwc2}lM%)`4IV0R!^d*j2XID>!>#0Y@ zKw{ZqmPYI&_o!ZXY1)=fqHFX{_?fXMX+>Z}IoF8DEifV|a5H+`{0f|i998uY#V`8EdcL3e+u{l4$*{BDU-0Mh zx2X1>o-bcOFKvB&N?ZRvkha7Tl>2*}p*%zRD|83d=_Voogv3(j8uX$6)Sv0Cb%E zGxc|q!>*lh7JrQGm%Zz*&^Uius@PQS(filsU5}?}rhWW&S>q~`%Ra$HN|me`4}0C0 zg1{^ORL`NtmEt@4_#w1V%`7EluBcZ!;(yBOtL7EDYa8GC#oRb-l=6H%tCi&K`{$HN zZR;U$A1ZYtYa?SN_CvDbOZO%u6Z?Z!YxMfv#jQlnhVP&E%c8Cod6-RPS}8MMcI6q>l@6tSuZ9j$a$ZE!*Wp>Q zhgDevcy6(6p|1t66qXrvN~zIT){4=9_58Gcz!Q~E99P+o?|pz8r4u+pmQJ7PgPrkI zU;#%rRXo>;CxC=5wBGIdK4vFRD4E*oDkPtO`jxAnqI%-{@9Zf}x=70!>-_-qO!~rg zyfY&0&^=?7_K7v!rz6Yd`rFX1yt?S8U__^I1aX_@T>TG|a=)5y+kddnc_p$I8*fwE z6W!9V9c4epzamrQw|5nAvfqd=v5uHH-LHC|&n-C)(z4ML3-?1?O5Ky603Z6CVs~B(lx{z7LSHX2@ z8{Y1#$T6{k#1AyyirA~@=q5`P?eV6!B1iU1-U>f!MBF`@7Owm@$XAJexuyrbM|OlV zXRu}qejL#}C$4XeA99|oIU?z1d=*&eTBS$Txzbo1qtt+@ zl&Pm#a)7aBrOaaSRBuJ>qa@Sq)@c8I@2&7>w|FZw+T!J?_kG1z;qT#`H+>aB7q)N0 z|E%i1eU1H^nGun<_!ug7En8ubVUbkF>=N^jQMoc-W=#Y+a0%2*(=+@3nJ%K?@O#P?!~JjWPS8_@Scpc z$@xisYzB%Cenf`FjjIb*@3Z13_Bj2+`NzcvF#4gyc?K3RgVhs9hDW2X8BYYy3YIl~ z8b{9DRb4~TobcwsX&??=XF3phuWTan!+aGdPj3g=?5^Rj<`r19iBa6Dz8KYOw3yn0e_gK5X1?J!E_izQ-L;ABRRtP`#Te?6T z-0mk@|DO`&^Y^zvf0cZeSE85EOZW-t1}zHf}OR* z{2rMkezcj-pPL)L0dJT_gU|0BxdiqJC08sFO45Gl2dyVo3rMRIku>(p4m4}sr|)YN z4bKk!Jv#j8yQ1eu9+|mqW>!oW-_z41?#CMj+6mf9Jwt25FRzn`F$l}-&Yi>2=kP0KW`;c`ne%bBOcfh;Dmr?^`e`o}SFj6*M|*ZZ19}E&k8PL9 zYB}S)Mjs)){W5z7Wk!vjr~S3{!(P#~huWper8w@!kbQR?(d{Mf8 zMt$8}5y_w?_ar51i`0n*wf9lgeb@c2bq}0yw5Kc;?J550L)J^P)f!)_-x+;qsP@G= zN^+e|$YA6t`pK-lI@)7p%>L1I5!7G%S?P1~P^5WSchv9dZ`S_wY5LF1QBnL5@}Sn1 zp$gvuy~gIY)N2;(6^oKH!)JI?$pwuJDEX9B(*axS1NPVpPRw(1cwco}tFJgE=c<4v zFE!7O9v0jm)^cc8;gy45>}S{aUPl}F5prK0XC|-s-yuhdQ}9=0DHZA-oIR>9MaG?F z@v!#X=wOb|#*%zrV*Y{S3zwdTf$@f#k{(=h^1W;K{mf7*7~)8`g=^ zRRbHHn&s2+4t^bV)RfHlg_=;r7H-EX`3$-)g`csDU-1#PMLa_zyHUG9_2%396?#r; zJFQjkakDaIc65}%huOp+^6ZKyQw=s&EpTLfMx__s?Ri?P)8$w5v;s#idpNTP<*n|G zP)9z{qSEdl_OpK9XKLlDsjFknK^f&(b#1s5mni1nyaEUtMNn`cmAZW3l-6F?Tni5LdW#8 zZPnHpG*zGM)mBv|-Xl*bI!Iq3DzOCV1gaXfN#&-d;*-7Uabms7nawZye61WQwCpQz ziEY=o`k~J460}@?_s)dj7PSG~mb}e=5X=n+axe)Z^DE$3D3_<^| zC$s;aBl=750bxXoif#8!X zRBght zEkSmjsrqci%l5K@=-*o*rKkB>Z} z*|#vf?CT%0I`D(F2a>F+;7(5l?iqdTti@r8P3=dVq7Q)QsXRcOqLb3smc5Lte#MG?9v-AyJ?;4kLi~j9+T+Wqgkk?zt;K*Z&0$Dyjvta{<15 znz3eqU1k0Pbs2uY9y1r6k~5JjpqXskq05c-+x@Spri6}0UrMTVDwi0!On*UlNO6A| zKP5+`6HxYm^RGBjB3JQw#!7i_F4sQm+3Ep^#5FhNie?-@0S;Q(=EcQ>ay| zP3IQ>oZeM-Hu&Y}f&XUAAh4H{_eM&cUkIMKIlhOd7Idak{z899lSwH8gC*3xlPwYTZ^Z2{*^X{d)tHjUTC-k^!^dK5VCzxrR zL5&LE5#8IzrTQLnhRhyn+jQHJnQbnb*Mqe24vlYHrSUQ-i~XkA1o%tnl5Kh#C4w!Lvc4 zL77EK!F6njifR>sOCDDV|&zQhJ{IsU`(dCBExX>k((vpXt3k z)9g=Odk}d0r+2$Y`AqNS8uiRlAEffe+4nU)wX)ZZyS|z3 za?hHGTWHgoSiA>o$36tCVZRr8^XwoHk{oMr zwueuc^P*$I?!2;)QhkjZD|bs?9tOKdt+5o;^18KBK~t<#YFp%BRXfQWn#JZEkg1 zHTE+TZ`zM2-ztm%K1~e4lCv&^TB1LkDVj60?l)$mWau2E>){o@T)&juwc14}YbypO zr4=F5Bj+i)>tmcQkp=b>lzu}*JM|;KJxZqkzK@cL75jGerFvib^lr=OMKg;i8P^j! zn}a(kPu02``&aYQ4%i(uEOnSyoPo49wE7Ej)27rNjA;6>F=MBE+G<9~FndE0m1k&( zf&E1NAYEZ!P3D?q-^gYUMGya&b?9!x8NOm0PIgAGCb#xAGbKkah@zcL`&doan!A){ zI=SawcJ7`4l4czc$tV48WAX>6n;g*5<|_FlxArnq22QzG_PsJ`+P|~MS@yJgxENZr z5VGuxML*UL#5YibsA^WC1!EdS3i>C{g$6Ww&Y?zv|Gn{EBMIrsmD+1&V7|qYMQcTJ zQX9>}LGH<(;=6;_hEVp2;4+Q}1_qU-nwY6et%=|89#Rc&Qiy&}-#%iN^># z+NVA+)ihS!kGRAel{1;XJX3A{p44o8z_=e>L!)}Ao);C~YIKfd%WuF1xxdsXb$o;3 zirsU{x*YPlzR6gj3}QvrzaRadwf{5s-{Bv&VeS>QfLs*e9}V2{W{*oaY0Tu8^MasLYS+8gMCI$QM}w4B z)lGSGzq%^rmI;Mg;BcHqpO4W#o z!UDIcReJv8bpqp~fEZs<4t7HGMPp5P!r=_tHJpO1e5q+tHyvDJcuALkg9#w;$}fuPZ`z z7LXk2r=|hkOOJJD65DqHEm2*d_x!}}C^HOtI=>4(z+StqdrB3(_TK>rnGcKtbGFbx$DfqFTtjykvUI}_;O!&pp^;Vva$i@erD%B4CwPSE1(&x zZU;CKl~VTT6{nhTlDnKrmP2J1aFCU2SC*POGh*LU*Fh>Waj9yR7AN&Or~fnDHP zf@1UYU@1|#h^9}^o%##tJKdw%JOVqEugEJCu`V80t+gNV&B*u5`AzNp!^|M!VxWm ze}E{9N7ABLC0`Gp-V8d)F0o!sXvTG?$QP6+qsfc|sgdufu3FHLJ20>-wv1zCuc(c3 zS!&*>wiUc8yHLdYms!VmeG=62*Id(TT@MPsV7Q2nTn|vaY zWFtmwIUtn9)pw&t@+x|2t;QSHz2n`vR;Nt4#i{^~&6D*G`FkP$W);ZLRZQs-*d6@^ z!E3}_G`fw%eS&KIl$xI$^~pEl?@5%YWqy0*D|hO|GyK0O>A*#FeV zJjnjI5lv?f6aLrQQ+62DF3x9^dOv!aLo@PH;l;>|M|bsD!mFD8-wV8|1MhZV4Xv%7 z95>#JCoJzJV*`KF8Pzj3?@;58_t|&Z-_MFPc1=X$4W4Bm#-hAuSnb$rXXK#mSxOg9 zAF?1kkD-HE3aMTPcO9;s*z=TKeN@eEMe<&I>3$+LdTkevDiyHEBanBLe{%=;+@x(O zhglN!fPaa?kuyv@6t-gJ?aajc80eh3eJz~A74^JBWJY@*^KgYeUxU1o->2x0a4B^R z98*_6q_)vNa;V`~pTQf6^rBi^+#i_5F0o|$@O0?|)+XUpC+a(ZJt*05(!HQtm-)c* zHvJ04D?CzF*rz6B$sLHjI<#-Fd={%De;zZT&U~y0`QX;B6jh-NSgoAo!E{Cb<=4au z`gG~E#0o5)L?3NXQ0}0APF#;asO%D>jJQ-RBX+q|kEaf^G6U=W=X1xA0lvEglD95y zE{zCeNg4~hv-YU{`5YZVw;r%-7 zqQ?9b%)Xs1TC=j{3PRPDJdXE5Db_8D)LwJ#j6WA8~^0$v;5L-d#JDoKWnD zeu};pBWG3hlNCV$kD-H*Dh;TDBi=VE7%MVhN;F-KMhTP|xgPzg(UPzM_H=s4z*B68 z-u%0f->8a8mM|N02N_H9K^YLNmT^RB&mA2>$i89E*%@pN%l5O-n5nu?+zc!HCym%M z(JasL=RuvaA?A_k>`r{9*;ntHcPD(1^)y05)LO^q_OL3iB_RCV{MJy8&kMde!MikTy_2A-5cY3th%`v zy!vY43#cVgyPADQIbJP%5)T+~wtLGTo6`x}x%^T0jXqASrT1OwqZbOF2VPw2X5WL4 z(UX@kOIwx&r7G8w$l zmJ0WETM_MsuQ{+^KVP5wBo*ZSUix)YzodT2C;NFT4h)unXCGSU{;am37-Ze&&VQ^b zzUM%6nOl5rd!?@a+eSY`7O*F`tp94u9eJCoV=TTMUER9=`g~^n+4EmmDKP56N(r+T zt=zs+`h5O(AU0YN?n7(a4sTtPHB;+a{{{qa?!N`r_t)s7sS|?3ZNe+jJnnAFZpQD6 zKL>wt)F=EFHhd$$%>F#>Ewa>V-OVu7EwN*yvdHk*CXm$y6&OgExqE3 zs1-+sPwrD?_8QM=8I{o{>?=v464m}lGZ;T|oVoM^alnG8aMtcR+urf}_mJBktl{+0 zwSBEZeOKyM==VY03UNqr%%?QCB25!<`z>2M0+vxtfi-Vw%7SD)fQ}XlFK_(FHrKl)H4$A zP92r1jmcLOABv9~^u@A798!Pl+T@nzoaUrN?c_5q%7bll*Tf%F+h@>-Yb@7tnH+_0bCSGrWi9Nj*R~j&erZ zv-ls6?f$Jjjn?nj1OG%r#b5Ln)TTpM{_ASfEtNWF;)3_+@Z(x^jHk~{zM`J>)%rty zhwi#bjp6UmdR0A6eeEh=(PvS|u5#B>5e)jmYjfz{%H)8%4=&QMi_8Q%A`_(umv9$1 zcVAn5l~K|9Irt9F6Hk@hn0&h7(briW&pGKG(WsGUy!xzK*C*?5sY#;Vs?OYA`w{xO zc5mI5zAA5r*K37T)#0}HIhq1A>}mI4@mJT((=#1SHxtEFIY;dgm~TgZ!v}85W;-g` z)y}4B>fAMX=J&kEkLr0m=+EP9-5~bxn-Njpsy*1mLzR6mr@Yic)9F_B-zF<2c@q5# zdaoE11bV2B&YM{k!*=|jDnn47o>V1HUuCaD?zb6|ktY_ok}@PKh2B~pH@>gPNLGe( z4x}yHs0_FMh8#%s&T?nB#Ek}X&?$feqyMYgPw!)}##E}%Te@N$hwr4Xj8xaI_}Mio z)=ZMaC|0aSd3ws;s3liol-ter6e2`jsd4mGe*bu4GGC-Pc43>wS0#)xRL>4;YP_ z!R5n?!KjXrN|C+LWBES^qUGFDuNp{%R_O|#g8T@fF9y1P%`-k||JwS6*#BiDNJ_FM z-0fkd>CD%5VQV}zuDV-i&NF4jgPzb;5L}{ks8%#j_UVg`LCtr@HFIZK0c$lvt(1x4 zDn+i6gjVFN$WzXL*nL@r5idjafYcZ=b|&>mR>KuJS>tb@5`Sxn_P@{jYgVA@1EC9m z7`aaE>Ly-M{tf%y{))P_o0j3|fADyB_V}fkBQkYQN5@f%p0k-fm6xPjmOK>o!zCi# z&(!(7#rlftM^9A`2~XeK86BbMqZwzyi9v@ox5P5C{~!Gu8LziW9+y}UdoqLvg25LI z7+D|IQL)4H#_sJf#yD%uXta)kl*Ai7>ySw0&GjA9fNU>{r}Lhi!Az_%S~u_5=cI*h zVkCWu+>txa@!sroKQ2A*UeEv7Jzd-Z-+qnX+Fc;JXNxc8X(2xPRrkVruD_VwQ$V81n*TZ(&KjdhyV6qX?%@Z@sAjF2*1WNTHEXSq-|489vQM|i zGneZd3GAKtc05ZyQ!<9}RfI3?OFa`#*w%_o8$sWAor>MKwy6w;ux!F^G}Uo$#+2AH zGF1&?O0aabcbaL*;*V$VBboL=(uvXosgEk`_U~v`;W-68c`O~(c4@!D->?1C-xSyS zYAo4rs8_AnqQ5+^Kv6bLUV)-(Os#D!*#R1w@(O(IEwN;A{~(qu^9npR_Tgq;LB^8d zGivp4zu}vC1&TuYc3we0qd{JQXV#!F#Dje^ufW&;x)XTyOL+x#Rr|aG<`H@$@dK*i zDvYHc`R(Vh|2|_f%RRqPeY4(|XK^;Kz~4*XCQ2$D&Cpt)Z7C+jX zlKv?96Y)h}pvO$1qf4EbJjLvXlr)p`oBD``=E2bMyjQ%s8$pF)ZZ>xX3M+8>nTDFQv%IK$7uZWRh%imU) zSoe&ggP>zq#^3q5;iJBZHTYTbXBYiLC!@zChV_d2@eK5hSb@G?k>*k2TSFs43t2hG z>r+PNs!0vvQLtQlOY7SIst8!H(P!VRXB4X?saN&2y3$ow=x262j@*B5KcwqJi494eY%flYFtiN*&C67t|YrG(He}+c% z?9gcf2aRVtw$*-V{jG>F<(jooW-)rLlk-Tlw&Q1bzJ-e8QNp9Hy5Cf4po`*(qaAos zcJ(2CF?DTW!`7gZ-*RXDE;S}JqAT)R53PxBjkq!b<`vE+uYm$ zPNmM^3)1b&+2T#(fBFrc%fH6w_qVvW(Fr976c;>p{ueQz;WMm!|5xUaK9TlJY-`Ou zrT){K$qtcB4_1nYPMYyy*0SI1p5UK68~HQ!MjSs>w9NAT(NXPPru{4OK-YOc15=(a ze^-0aG0IOk>zix;rp^=0Ls7j#)zs&_LDr0k5=+L}X;4wl`3Y2iJ1Q#4iC$1pYwPfV zS|7}x%xJ3zXd51RksI{NQkD(&;Sv$pJ#WWPdg1TBg#&L^9JoBU${E`Y@qWEe7MZRo zyk4gcPVYF$Tl|gGu5Y#CDsiYeyi1OMd^h8#myG87tI;c>sf41_%aD$MPu7gEFMzt6 z-|DBirGMH&9ek&=deB|1fT#lU{w<}oJpWwT;mvn*bLCq`qg?XAXr61&yXWxhzzE73TPlVv&q^TM zy%JPS_ey{@5V@)m>w3+=`Rty7M>hCQ@8I1F`EJ)A&`QK?zwz$a39N~U3_UgAUZ%6TG&O;5KeeR7uCnhnQvG<)4Qp)@EsKzXYYJ&D&{+8$Lch? z!|sUvh-ZRS+!)bHrK|48Tb>JD#l6~@Sh=DJfyC^No+^Tih^;4gz%+RN)A<*4cqZ3z z`A7OTzwUlaWMpUYIp_yFu}bY5YjHc>v)qa2G3KBHY(rr(yYlholV5aCmtL@5Pr-G- zRTiFgKSGJGmwz-p>>y*YIK0P!syy#r)V%bk(hvRC7pw7Hbh$zwjQTkvrGCjWBVLPt zFWI-(QF#F!;#G`#W#|8~_ohp6Wl6d=^AI~ix^Bv{Z{Ym+j80j7jRX<`G-YQ|ZOlT9 z8G$6EA9XF~d0+Rn_KpCg%LuQ)*YnoVdDE+0Id9L=-{!r0J#89kR_1&sJ$C2LGBzv2Nftb2EQg*x|f2bIvq({4L(D;5|D$8}p`Px##`bbn>!! z$M?5pwwl*VZ~XJS#Vyv7J58tXx1s??P4@0 z{vAh0@!7k$=XmhPZ`%QtydL7>maMWRVh&?H(c{$KF2KQc>g)j36_VoKa$Y5de7#K0 zHWj_EGv3qIs3)##8T{+K7m`xn^dEx%p3eS2Gv zT&X3`RLERniqBH7+!h7}ozfZ;{v&eTs2cWp>R7dgnWG}_>SKkUh+Jv9cQmj2yUnqx zxjN1fb?QGVmMHCQ>A3fFYQKX`I|iGEj|eSutw#l?-WI4c{ky&VqW6N1xyQ>-d(W4; zpFb69fegI%Z`*w51s&gomf0lchu=3mU36dAqW0{Yn4M#cn~Zxz6ckzCJYS{*^TI~& zCw*rC3QPY&XE(F*4{y37=gl&GxR+OvhT75W_|ABzMqTgq*P5ptEcvN2ggE0f5E9oQ z_&)Y89|^(FDb8Pned}HDb1`34@qiDIu{4kWhFpvrR<^qES8>8}ZgKaB_XZboZV(-CZ3|J>>v@ z+dYC>QwgKC?fP@2)*nHaU>ZKtp^Y53 z=Ig*HTX;t9K&-4Y7jDx6x@FeM2_HdvX$K$SbLgR#08>z{R!_zKsHPO1Qv;_T*)vql zk19=(JD8&w!6r>wtIm6!`&-6C;;~CU?}_oO@d`!9Ovc88ucybR{VeIB-F=TAe%~&~ z{9ot6{qIDBf7`S66(_Ek{9HKLa7rHYP5&)@1o9Q&JvQF=SNjPPC#>G*gJ0&cSHX;^ ze&o$~?{oQi;9?JAj0Xi@T=B+<8UDJw8?~sHh9HcU(Y+>23NrrbV0{)Dv1A-^Z?EmW z+E52n$Vz+@&%i8y89W15{8X#WCwHm&w%1(F(9!wvE%#5<>K#(X(%^g+zN(BIXjKu1 zZTCiZpY;4*#T@H;eK1=oY^7~zM995xRgfNqqxr96P0Fr;z1QRSU0IqL#NiRkm8sgztOte#qJH)3lt{Wx>IJD2i{N@VGz3?H5dR2d1@g{S(F+4Id zMl=OAenG1dtp#3H{m#qJjH?dgsRtUZ3m$nSTwYe;(#ZtUM=`6-*4s^-qoC@G@3NqM z&6$y1`d!Zoha4wp87A6jBJy&KMkIc$Z@Rk*7^`R2nKFygSZr28FCOFc^Rw|?$(=yA zFKFe-yXMtvck(-B#q>|~ilha%t0xwdIz?H*P&-z3ygLTH6`-qf!?bU7g#i64TI6Kh zZ63{7^IGds0p7dY_Hx(wfuzbA&%{jI6_uXzuBwmbto>BIg2Hi-*+!*l1+2!BQ9qjl zN%!Zb&@s74%a{>7w(L;6FJyI|f@WV)o#JlZEv*#10X+qbI~bum`23-Xr-Nth3X_&f zU-QYi)U5p29ZT!TH#Az_`#cc=DQ9Du&u8LlSRv&MAL`ov&yTkLZSwS={oAcRFjTFP z=~db559Jx5BT!2gYvn@!s;=B)P_(LDc{eACzaI#fhVAy@d*A(4{jtC?B8NA~e^pL_ z;s7OIN%o=bK&L0Ra$;?F`APOba0(MelM`=}}az`js zqbfZRx>HZkYGTCuQ16iq5%B|l9-L1g+Va_sH^ezj+`fG(EX3+MvYout$-jwLbmf2b z?la#p%vz48b&SUq%Dhs7vmRo{gL7iL8#VK~#EIoA@QM}Pa9r1wF6)kz?ku6>7ypfH zNOVDE<^CGk@2sxK=CFm6{(~0nlDpQyjF(+odBA7Xe%?ld{Wok!??~9QpmJku;|DT_ zzs*2#^f|sEkA>s3+W*c7;_Kq2?yjvjTr?Z@exz>EwL-h{mmF3<*JeJYK5$1T>F{ey zUpo6b&qb0hX)`!6E9vaGbQNWhi&ny#m(H8Q0R>PzI5dcG@V^r#CI*{RIn z6o1hPBa-D$??H0R9;Ah>{#{zIOy>Sw49d+anYEhX2k(S1K_3?7b1W6L+A}m=;hP}d z#G93Wh7X{6(RA;HGWnO>`R_|2%L4Y&^@_#k@x|%PYEQEVF+)_@%J{FxxHlL58?{cO z_o(y6rDYYWOq#41Abs|5eoKkss^S-@3FjVZUSwu==&Fq0@)y3tn&H6oHA9kcvocrH zaa?`cjpJ(cv3hm0r`V5Oo_svmk~QjaYTvTK_N>MU9~AwtN(O{{yZHTlYZTuJpFXi3 z;uA*moW@wnH=uUKDKjPhaOZp5cxy*`r}85Po9dfFXUS8=0Eq5v`L-yIXz$KFwUo`% zu=J*QDy)=M_6(mP60ArH?WKJEt(?$VYd;TqKRGt@CZb$KLL;8@R&hMO(|)hSmmTp^ z#LOH>v8VCxl{ivFRzXXfZxi_f{oEZ-k+PR1l1qdpqO&iF9V*6D-g^VT&*+YKLe*p? z+v>ALwy^t>1=NMsUj7Q{rPV7gANz-$)sbHA>Z|&5dQ(9~sd9Eudt_aK!YmXS(sT>2 z*ort^jb@b3EgcoV&=|~5xli_I;=!qzJ841rJ9-bNc&9dvQQM;9u`D5TR{V%~N>wR^ z{2TS2alTK~f2Ot@IpL@1YA?JZ>JNxU`rz(W&5B%4FdE=ZMpSP&U2tv>*;XTP8pr*Q zbNKGVkEePM+7;81-b1H2YR3$rSm|RAWyJWs@FRB(!n?ZHJD_f+REM!@Gi@~+@LH}lJh_6Xd;=a_wV|+UhZ9d7;~J<7i04D30)`a$6ek za=p{QlBni%aB4WWzW-(ZT4RL?e7?#f_K`KJ62JWzn2<|;yrOQ!td15WZc?}Be%Dk zs7?3@>o>a*OR8!XUft3Oa-H)u7tx+K)Q96PAtAtmAHw;#$LyLsr-%3$6?2w174N#@ zyeUPA`Gi~n%i$F|KBPHhyvXq={eF=6rTRX_GUi2d*|vh(G13D+NM~1Ke}j5B->E2E$CgM+Ge$Cv^vp=c28L~L{gB(A7?Igx0nCXU8k+na~9p2)xX9rK!>y^ zykbXxWh@CUd;OEjo`3@gnf4ldn zn{&jBC-MtBm3s$N^8S@NtLFD@o%QZc;3JyQS~ZH!rqx;{bAz*>ebyC87KA5-QGZ3Q zk;W81VTP^uB(z?QiG*uwSi5$ZB6HFuxhc}vUV@6CR)Vv!HCE~hit-V^iu%*pNnYIt zk;Ye%!&c%9#1gVwwCkeC6EBO39q6BNck#uirR%s3T{nzoQ*=x-2@d31z}g|GlNs|2 zxF6|TLfk*+zloV4^O;%i6ZV}E{51|BKA`VL))O4Ha??QE>vL!9>O066Y6x^<5u(FZ zMrc0UJTLj+YQvLjDLctC_j^;!2V`4QlM22^HQmv}zT#iw)9r5xj{-Vk+ylFzoPb(? zD*b=bXliVj+EdnW*fsj3uJzj3uzD=755AynJuO;LCjuLM1q}?oubt7U;Ze(8tR-*J zpR-QoE&Av5A-Gzv>%F%l)NGeJN}fa1h(g<-!oq}4Vd8JUrACy-%MLt+7wLUvmZB9| zw(pFJwiSY<-=kv@D3NI}Ze9{uGv9GZzPtx>mBeI*?dq(JF)u}F-xr*yL zq)%5*h~j+LYP~1P?v@%`?pS=t^1Ir@)rn*}-dJiuIpRKyO1%C#;?cwAMmRx7Jv(Pp zc}A&|bpIXx?|TU+a!kksS@T8E0kpF#{dIqq z@;-f8U3o9NM&s1n>+4}}-Bj0K=Qwcntu@38b-E#1RR zIz-3++5No!op(*4BZD$16%tynR@IO`xWj^M!Zu@3tC!|s>QxqttRUp_y>G$$xxQ(c?IPZ60zR44qeMdeB`2kCTzTTdB6UgTo(AQo$c_@iDe*^Zl8>EGoiv~P7gJ(wWqMNRZF1+A~WpXU4v z#@yI&t)9_T*@}FW9gn7*kgeWp&lHURRAR{amna(JzXVqv=l3eCAv~Kq!k342#m}xS zG51pTsmBUWDes<&^L|zG1ITxXtQ*EmTG+ztDvQaM8b0M1>#fG3vM1Qp>3{c{UXeu- z88&4MXc|TmUhCJa+$+Xwji*^>O3mM&Vl+DX#ms(U&qR^KSBS68>dqU~|A3ES8yN4gr}R(Hogv%qn2Yu<&`4EwK~1YB{&pF*lI^ zF}K$_H!?STnq+C@?rn3Uyf*R-#y`{C!bfz_EBP8^O~veHV|Ei+P28LNGoD_#VuN=M zpPu`pIn%*3FhdnI%N+eX=C#JxJoy<>jfER})j(tV%sZ`&i^yA0W|w#5;hJe3Pxzn6 z5H`Q6lJn5kwKV|UeCUu{fYnm%fBSUBQ^V`!*_yv*hs5+qgV{slZ9U(uj!<}Vi6w8D zyV}N}0$j!be`nA5QC(HoDa-*r)2W|TJ>$M*T2Fd@-aei#_9MK_!;VmAtxs z?j`gANhNU#ydm^03A7qk4xVc7ZSg8spK|kT#?r4#JL$U#{Sxe_KPw)|@at4M%kTPk z*Erjxy86-VSyt{-e~Lr$2b>QLzlNvFi%aht*)FT{>VxDWZx|HUuBG)RC~g0)?~Q%O z85_hvcZhAAQU|$?W3IX8-{FLcK*UHO*mwBm=FD^LN_m@p<~xO}l^fFa+j(T|BATvH z@tS4WZ}xJ6L;phN^-w!w`*I}dD99O5(ACpn+WF1f6-{o2B zxXPE|6w+%yc_NOiSd(L2=`H8U=hW`?(~h34m}>0JNHn~-oV_DCx@CN zG;Ffu;Yj7V&1ScKuH$VlHiLGuXkM`@)xV2fzQJ<3l?>2X%Bt^yFcxj3*I(bCoVz=d z<=ktuhtpQ%^mKBMd{*kHgVt(a08uim-61zawwSaju&k)-`;q^z3VfR7 zD)}c=*t;jUp!`kRRNkV9L*4OstDEaS<9()%(U~%T9^0?TbH1s>HkfDaUqHm?G4YM? z&c>;>p48$SMdB;Nf^6B$gs5IpJ%I-ktH+(4uy{bup4K;Ze6@}Nb2A?H_tNPhXsdc; z!-kYU7n+dX;VjOw675*>iq3nIJ|7(L)|>)-V*~jhC<0V?`C6#CQTlYH0xWuWTIkg+RA;!xAYzgqD~H# z9x^WBTqXROweFzeMy5$TBBC=z`aM5I^wg(OJrn#MdWOt&Z$Ydjo+WbXJqO)17$FnT zt;eeCmyy+}U0ia%%^7Nrr#0)bJ8J)o&d_M7*1{D&8Go1&Ea39(VqI2ST5oMe z4_d&AP#wsB7%_Zo|5YI{kSEHNqhnlDRG7f{tbE({pR7AycdJwLOkQyB2KU;QvHafS z=$~w!DMDUL_V&IxH%O zjI3j10Cw7>|Nnm9;rv+bF`>DoNoh)CNf1@9T ze9rfJ*`UKQPhRFf6|Uykf_JX<;zcJyA}4`a2^^bw#1qedqvJ0K|3KGcQ2hmP6W=}Q z(s(QMbH!wOx5RVt8-&FZZ{gnSd-vY?oVng*JAN|0pfMk~*Pe&&9-|X8LJ#cLn*L}cN(64JJ_&AB>ExiUllJvREgQ_euXWkW=FSF9&~F`irVEaFaHrIXMGZ z)q$0d46YRn;eYzG$ljD6W4Hf&Y;LE}+O0DDsR|-I8(Bm3b9qhhCrv%Cf7^N-$U1Pb zRD7PAdL1)(1VVut_0)*SJW-)nonK6S5P785^Y7c_Z$8)G@Jy`p_moWS8_`}|e;7RA zcJFU|cWYjJqH5pf84>XYZB-B%M%j0MuhDTm*Qtf(_mo;_H(j(0HI-d|ByrD`YwIKv zF{AOvaP#QE=!BDh)f2?q5-k&bV$rFKmaOknL1A$N&Q0ATYqgUe?~38R#~bbyO`zRl z4qEA$wu}CE)1qxu&w?HrV?8&FH#n!?!9F`lH%YwlC8G8^z3Sl8?Qy3XRC?TBQgJ9M z@d&$)UEoR?H$+ernpT$?^umy5Pp4XLxmkc zC8mf{Q1vI{MO#$P@(dQJQKZ^cS}9}4`<)LAx_&2icbdEduYg)o3o$n#={+|pL%BYc zo~NEtJRfs>O)VdhsLDpP)wMa!QpWIujGqpQVMu-^FHW4qM?;KT^EaO>8 z_=Bj>RI(zdCslVKBVR;1IZIW?iZ~5bx3-NN+F@0(J6lvk^4M5O%r198&ua%`1=~c~ z9c@uTum!>kSOmQ{!9E8qY`1U|LNC9UL7&fEg(lkJJ9y5*&#Q>dpJe3 z%6rHe%*G6A6|My+D|TRTGgYEkvC5tA$95cdWCy{;+^Y*>i)P(9aOdns_a+ABpx(?X zbz?Udt`pz3opq3EZQI`0u7q~Y+o>2m@H|+w2My?Qq9}=Qgnz0kQ|0M_i0W#Yra*C* z#D8@4NLN+t=yP$8!@5eZC@Vw1D|u!uKd3god4a}g<5J1lGXLx@cBOvau{ZgfvNS}W zEq29YhkqbvKZ#!PH^!|@qG95IWWC9kS30nKP#;V1XTAYw(Nxb;1s{2{vtKD059aA@ zPC!OX>UXSp6$=T8rixGc8<~EORE0;}R~-S*$^1)fTJbHLJ_5PTN-t}Q_lK;jMQ3|HS4VltJv^mC(_r1()h6oCgmjCjKY^xNxlruIxMICd{ zU(`QEkErwZuDjB&y8f~|d*-0ki=X^PH!i*Mevcw1ipMb9=6YT;*hNc-vjo%cT4Xoj z?Usc^&0)WpimJE7=ARHKbqM@Z@cV~6sT$5j|4Usp4g?j zYF7-hMH7+NeBP@myo{YXXkL!#F`ZjzJ|$XolKrLXL=Vi07NUJ7_w9FQ z72QY&)El0rub`Rtb0xmpRB%$IY>KeI^=8jB!&H){Jr`);HKijw?zV`hMu@ znTuk25)Cqg=&Mxq!I#YX^I&gQSvW8A9gd>#_v`{j1Vy(>-cog_-Xr(zYJ!%9JLNlc zalk{e{6p^_ZIAtt-q*1W@e>d$(Eo@~wsosj>%sp$7%!lU3$_2g6EDb~1Z#u$^6^=o zNDnw5VmHb#Y81E0cnr8Atedls&cit-1QN{ff|Gowy=SPb&bb9AXfxoR!+2)a+=_T9tI~ z?fxfb^{Te@h`dB+d4F@<=3*MZ-kr`1!XhARr_bs55w>4NUwY-~%k})6 zOQ)x;KzVU`V;)y>WJM#P6OPyFU^I8dVkE7ip5I2T)RD8Qb*6QO*a(Redj)zeH7D(E z|AeltU7KT@YP-gRsVcL*B!yzn+bY4b-LNYo@{SFM?HRk1?@l};b_MUodP*GR4xAea z+wMyLO};zrN_Ndw6}6b(PRm1M+t7P)Uh9wt_Kw=c9vyt3ZSKx_ECrg95xnx()GOA$ zZ!OxQE%74n-jkq{>f61GW~;vGy3^7V@4>PWYu1_RovrtS9gaA4^;LU^G@sDU)yJ~M z#yH+opRsN@H5CXn{tD%OGrv2j#xp>o-N}~8&&TZu_m}X@CB@{;T2)2;%&zTJ(l<6J z&i4Yofd{Mk%YEN#k#RZRUyEl9PHD6~@yaW4PVGWkL9r`QJJ*@Qd|tXn3Psb&2?Y}n z-m>%|qFHr}RmGL6+`i5h^_Tp zG6sddxdIJT)=7_S-OlVbrhZ21;Q12mLaQFmi^7EcIU%b_-b`s5Xfc{;u)K!+y#1YAj?NJl$7JR;GO86wXj=^r)oRu0R27bDXlo}oX@C#;c9lV3#wu`#A1nC-VM{n(~NE^fu*t)CCsG>2%IDh83w2;AHMX zbPf%25@6Ec1@I$fT15o}{z~lVOJqK$Y%cU+O{sbA*;Z;5s*M})S+=C8pQ;Ot!F{Dl z23Vo{sPnmOgEE6|-2z$Og?3bRp|iSjI-uytQGcJkNLqbR6*-FUCoqVir|2fg@)ur7 z9R&BVU)t>dmKQ_~3ZFsF%yi&f8YEpZ-cTLwZ}w65>aJq%D3P=P zNY7aK0)h)^hWfo^cZUtiXXp<`^OHxYDi5N1?mXxA*=NShgeG%`(^@w@rhVESC;S|N z^n2(#Q{i9#&Pb)AWz@5j-X3;+_igrWd}o}rfZJO%ISzWKcBZ2{T65NWOtqcc6*Oi$ zH8f-Vfad0Wr>!7>cMh%zhkksPoV7*c9l=|UfL0{0rPuOzEr_A%O^=RRsE!yIccr;m9=z+xtjMh64cJ!)KL9L5l z3Q4RRgfyleh3>ZUn~=IQMCR~hw%Se7qxn0XIf7>ddXl?N7cxoZ&iDD>I;Hhcr~ESOPmOio)^qy2 zg9Ux=afyH}fjiS@*7K;k<{RLcqY;=O;?c-Hc99oYM;p{L*G;Lbg~HL;7ET94SzHwx zU>Ts8N7cxciE%mq89SlWI@&=tKm+N~CdXer1(71{e(NlWXrQTF%%jB^{d!>u$r<;y znvkC6<9M(yc>|WOvfP9%^}V}5)6tl1JfU>rzBPXy^3FW_MCBS|k}J&<5QfG6MXd^L zy!Gm1kGtlLYEa_k!@C}z>D0(;4|>5D%`RvSAs?tNqDXddXY!1YLTHSijjldIqUEYp z=S$gfjX0dCnpu^e$NKxr=4WMO8P%wt{aNQ<&HgC5w*H~}oPCQAynTQV?1>N9b6M&Q zvcH_ODZ11)yz?FHV#tEFCyJjFdZ*+v1t!V2f~br$G3l81=s%zrYyvzp^sF45_RwME z&zvU%0J`Jd@_={ftkNc@cF&FR4d?bZ+x=(Y2PP>kt7T$mhn?X1Al$D*def?EQvJT` zL>4`On_#NbGgw{lkn)E7{``K<<~cWHwOT2}Dh9-G^e%bhvO3Bb5)0jt?4N0^L^cB6 z6yz323qNP;2pW!BC(nbjT}LT)yTq?tX~^C+#i5jyb1*nFHa+bE@kp$r?WJ|yhPqno z+5O{XD!!xLMy7PJIDN)hS?-6#M{-(NDGbhN1h<=3~Bbw4XoKq$lV(m&i%#~uWh{%Z*-|uhE zQ3q!cF1c_TF%4Vd(gKc|Md*Yb`w#rbsWWWQp&o^g$cW?_Rm3!h6SwnTW3{q7sxyx* z5g9OXUg_I!v$ZrnJR@cWN6mh7b;Simhm{)q2w$XEJxP1go}o3#4OLQj=roEpUv^0q zJ#8oEGxXPyRFoWo6P{zcyZVx;-e(frkn<8+QD>{Y?#c>fNy~5dvtu^F>&*>q5r& z>%ZY+n0GhlRJQdZzTDrk&=`qmWbX)wh=%z!Dew8yAC3^%&NXuJR^JScIp=~BRU9=X&}m`zkpo?U5^CAUspesq*^Bjj0pEXsvoiX4_h*+a*HUK^GUC%_=7#Bs?;6S zpZa_`Yte|Q9^J9%BS^`-aVf!d`!be1Y3=_@7Ib6*n2lBRu3yl(SEX+&@^pWG$fEN@ z2CLEc$hWAVWIH`Y;OU2LWuA(Xtq57_*wijQjwS2&ael4m#kFdTNYBKrMN59+ZY=6X zIO_cZ&+Js4_V+qm7_7ihHgK0SCpu5ndWA9=8Iu_?WX1fbo>}_El6!p8?N7{%+RyOw z_fGJZzwGVw*Xg!iW;l+HrEiHVK}$+n&&<_#t3hiHZL&jc*lQb*C2lv&ACKQEh#MVwBOF(tE;KflD>1l=)Tq%okr0t#w?kPUifU{`;G%S zI;Sxw^T-l^WRC{C-eV@JPCDQTXm8~`%eRkZM$Rgp)AZEV-6%9W<_%dHe{ayvJk&Dh zPBM6OHj(VqCsb`nyoowjttL9Yiz0~yG@JdG`1xzzd9Ds*$_z+7@IR$0-XWP*R4Ue6 zsTf8&gmmX)Re27eGQjR=_KIn@96w) zPKoEuokRi&c8)fuUKj^x`DBT6o392RiCJCFdu-MQ$GlTF3p5@4H})j?=2&GuZorcI zfRreQe`oKiy*Nd5DlmPy@+0f}`}pY39Cg#KguWTFMY~;Vmkut;Ik<9-nOizGuG3{w z7{P6j`)j?k<@Mg}WBuI-en-T^qxPITr${WdC0OBwI|M5GPrW#7-E+>^taN<%#9Z6D zhsLNuC%N-}N4W)fswO@WJ@^SxP4f$w!%f~s4k;eyT6;cef^6!=b7oXlVOr|nJ^~{) zi{~0IHr6h_XlLDI0!4S<3W1i!839)9ZJ6WL-;;pT{!# zsFN4@#Mlp66UWaRC8G>5(ZS{8Yu!lMiqe$j%~Mv`m@MFTS8lITM7;z4uH0dr%ea1D zR#a!=tbFZQn-i$-xZXhV7eI4ezOK(@$wURdZy_%$$MSvZW75gv z9ru9Oxi=9l zK*BVCsQ-KT4o}+hn^{rgin#j~mGsp~m;5nBxsAFYcHetfq#yTgk0|2m+?W1!_zX&J zvQX{BOZV{q;!U642M37fa_*X{9`tryqJuBUh~XT#QK26|LQwA-nIYb!D7j*NL)Fvf ze2>s!`7Y0s`AtV?j|GM_^VJrvxE<<+yvjR1fnYCVsCo$Br&j+d8WC~*`WE@-RCW6! z)!Fs*QFGX{EH!7`0(o~twWL*$m;0`sUNjSW)-JwhMI%MH`v*&|NkU)bZF#kYDPfoP zkp(4YulVoL;22-vh@HHdrB7XQ-<{4oMr6&6kn#B)acl5p%Kq3>)(04uBHyJqfMHoU zlH}&Sue~Pa&8ep@em0H69GE}Z0<|Knx=2d@(irCfR<7w19eEK*upN|IEtoPQ(MeTSY~x*&`Q}M z=Om(oGP5h~w0ODn0RQ&`nhtY>&hT9uQwANPZQV{?gJaVz4o;eyoqBeQ8C!Z&o694*2hQNLEBK<-syJFPTQW=^WH`;~RMNk=_V%k_mgT!VY z8AUVdk4$^Il;6&TcMWcgM`bm<CNzicn2yez;2)qrK~wDN)vUNEDaAR0!SemW zCkKy5q8<2O<$?~0Se(y(=J#{XgXep6<}{rU>9oErO5K9mN5l$r12kj%^?I-9SAXSO zQ2hY?cX-Z;gosx}E)(9dRbqjmMLRYHzGbAdCyvROXw%{Uk%wLSy>Eu-jGfX=HvKB@ zjXo>+6FYE;tcbOMHzLOTIjZwTq)U1hllTg|h6N_{DkulRWs!rSE@|t(!ZjIwYMve2BZ0H<+4lJ(A8t zv?EFsJ)HUM!7dv;HCigG99j1#20gJ7tgi7}Cf=@H?cB;ypLgjwc3Fs>8}EA3Aes&` zX;#mm@0r_mG$=)0;&bo%5R}(mr)t|Oa)aIHS^0{ID zmf!Vs`fd+4+h^i#FJ#|4vNEWP(F`56yT&#Q^vx~rqkdN5ZDE_>d0Qpt)T`mmKNL;~ z1(&F)?$*7M4nO){==I2oy{QXwKF&1zJ~b3{7tz;=?G(EV3H!^uY(O+5xpeCsyvi6^-WC1ni#TmR$hkv??7%5nsUlu0s=5g8J`4}`@>O`Jz4Eeu@(-ccb?tfl z0LRSe(C1$%O{?L}Rl1^Qik8g7dta1=A(}uZMStTqRo%bUp5xUUMx`%qk#ANL5tq})ittm1x#X>rMJ@?&VlP>dZX@2}r^?Kzvc=9oDbUG` zD9*Ap@LPVfDDHqhspxkxdt`RG=Tv;w>NtlX+LAa@@MAP0{EP=am%t#Z?xA>y?!Esl z_c&L5Ej=N4Il6#(j5My;JrI`{eEyzFe9={`VAg$d6O^yHg=S8!8WIz$P!$-ELTIdW zS9-hlrFVeUazM?A%2|-H!Fpe5rwWEdvo5FLNoeTxZ_cCGoaSRyfDW? zKu07T&nup~?zrd7>5T7zj9)(2yrA%}p-1X_OT%WzbyLN8ufeN;*6r*Tny@%$rM-g2 zJ+Q_GUqKQc^i_#)i<$rIjK)oA6)lqpMB>u^8B})hq7fn!7d{0@Pqx|p@uSQm6vigJ-A9AJ zE$t4rRX3JXzgpbxui+nf%Gqwt|E;+NL-4nyi~jn8xb9|iq5gwNE-+%fpQ+}#yAXZw zf;WWkLStPt$Ia97EGRAd*z>;6dH<hW4{*p$zo_MR^PRnL3X%8fw_%bb?c(tmObm7V_*6dt|2`==nSR(%4J z4SuG%ee|yP4iAYilkmsEHMt-1x%dpJwgT4UF||XekiJPh`?rgm%JbuI^=D`_BQiWx0;qX8&>P&-((S$ z>O9rgtl6Q(fPQN`Lg4)>D;xJ4Gv?shu|#{c^$z=cH)>9!c8y+iI==y2c2=2|8sDd) zozv-jua3Qt+~_GU@6;n{`&(qh1P_I)ouI4S`-Jku`rFEKR7HL3X9Y6IH9daqQAPHq@QEt)~NR``)O?9E5=Hl`CD=kq!Y7q#wDF} zUzsZ3);dDlbY$?X7U}(9eVwV^Mtvoy_MqoA04ha8%@B zEIc2RW9^i&=GqENuk9Zq&orw8y<+zq8JQzD)%BGai-`KQ1H4GQfq zayP3by&-$m8L`uxuR1WRUI_l#$D#XPGYQu zyJNj6V^J##J%X`Z=g1Vlh5Xl!y~e6?w(LB0q{{9u-W}_08H*g`$gbVu1mpI+q7szG zdP}t_zlY4*ZFIuI_swDYx13Q_MsltX8VMC<)LRA(=`Ho4c4ptuaV4l&-IzVn;v3bb zV#fN+nl5LZJEJr_3XUf>oW8~c;-P0KJ6*ps>8v5JRzk1CsXqMY_4v7^*i?)EN zf0eiA4V9UK7U|Sc#-1%>$1U1n-rLOQH9a@p&A(%Q?-)PkHa_p5f%|9v%GH*bIeEpg zE4Frf+%N9F?-(W6UZ_i;Wfwuh=TQG-Gft)L=wKTWn*6tsglTr3>iIhkGTzov(qvz`8EL%o9(MIU%drJO@ z5FC<2Q7L3RgQr_bM!w=!V%^E%0L5HBmo+N;-%m$;QB{{TQ}T*KzAKJ+fSxAo0I|8r zDokFHI&CZVZ$A&awr7N!BrfOI{{UVDJ)pS-b&p09e-11=8JWhbo2Hzlt2yz# z$9v(E%34Ghd@oViw!Zi9S+;ao&RBU<#>6MBsKd*#GB6d1OH>}&c3{i3he!x4W3)(l zA@G`GKSFVeSd6-PJ?QYa3)jls6+h@uqDHr!pKlv#H$5xqeC!B3gL9od8_;W{?qo+} z#xJz)D2BfKT0NCZ2A0n%u_5*yWu2)R=u+sP{;Kqc-fKMh=Z@0JlOJoc*M1%y(KWEp zF_`!BM@VI_Nr)l&ih1MG8}{_j5v5Z&$qPPt(OI2V!wqp4X#6qw3r@)gjrfsrzNkay zN(Y-@c9cPdufR3Llr?AmYt2{hVFqEjWNvVwDf^=pd%|CJq28-Ap#GDV-c(xBs*tF9 zmu_Fu0~ucCWt+;*#D~%8pAlI*af|Rlxbu87EWQQbIDEy;t#z*4Aa`CA-cbkMSSnD_o^2( z6ahT>it zPo&yoGm|6g$$Tr+8QkZ+Bob%g8Ufnl=KUNMv}UM^W&5s<$x5O|Rp;!eL!~-c;l~Sp zp&9RW#~lAo)~gCS=#O&}ZDQ6jE!V0kcmN!3}R29q&cP36h)H zev3WbvGgjdiCrTn_0bkm3~QT82+xz6$w5tiUv|-wiz?3l-ya~S`N4d{hx0)|NYI(x z-e=B(Iu!?vybr?ai1X341)KtLUt;@0MY`WPcA4u(u(M=5QLRHbg->98PXqVSTaUlv zU18sa8|~z~DTe)I{)%%`ta=k}dBZrwpYe(~@6a&`v>$lJ5ZqAv-O{-M9i@>!pwKd2 zcy}eIt2r^FBREw>vGL6#Vm?N(`#S@g%QAQRP7=8=dWk!Ca@ma-rb@&E&jWaypia&si9IPOxhja|pn>(nxGPY+u zhQy|}-NpR6eFxXmbb_^x7YIzzU#>Lo@)OY`acs>m=qu(Ek=YSDrT30SV2SkaRcSg! z6p_7&8Os+>{^Jm;gF<<-oELNuIfBc7`rW+Lf0Q}|o%{k;46c;>3Ce*8ivLwCPnodX z?Vd^z{#Vcx?yltN2e_W+5(oOmu z6@gdHx*Wqa623&L0!0^MqOCd|(OtR0t^nCwIuq01BVHlvuGB!N&*m+`-l2BprThc( z58?xM5BvLb%lys44|J!$5E*Uxf&DEtFa7o#pN-uDY{GU{W4FNXtGQ*wcy0#UGp{i>y8;(w%;KR zma*u_4L1SD+8gRD9@E5B;3Em{DE>h<~iaGV9mT@cU^=Q zkWSZi2UhxDv^%2IWorET@7AWvuCY_`E`Lv-*A604{x9R{!F-Vau%>4MnJI|; zI7fl|E?rc0N|Z^D{b`+3m0|G>ykd-apY5A?o?F72WEHpX$0r_I+i=QrjSL{PV*DrV zebipk<4#=9@|WMQmrjGjFoNDxW<~4;@0B!=ti&zqQ*BCF!u;%6^SStfE3nG~!{-#7 zQqNHl8eKsMnOH&KhB!O4YP!$%HjJWFH9x->YitkQz#27iY(3Y`vv5ZIN6_;*r}DBq z-`hpb5Oah4P@G`t_PV0BcA^tzr z_c}nvj=+?kKEC3N@oLF~NiQ;;ojN|=pbw_k;#bC-PeIZ|g!SAQVc|7h_8#=^Ks_f{EBrD(G_w_^A(ax zIb1jD8w!WI@|)FHNFy8%4j0f&zP&?OHE=W#a|dWqLZ-M*VI6A)BnvysJK(rz;Hz|1 zxi>dVRd`jsZ*b%I^oRb{OwscdX?@ZkE*vg>8sm_;Gx}TD2a?E0)2;rEHFO^ZG%<1b0&0fPwgk_MSg-~O-eU>JdArs zH@BDNID9pUe#B->&bpJcyJv3Bq@wQOEZD;ZS8(Bkj4hL$Xxtm?8GNqjK682aT6k!v zOHwifh2{jIt)ADPWsQPd0GSndE_^ZOaAUK?Qo+xLKMyTgJoSHUmOY}wMMs!?7dFd< zw00q>r7ujJcX03Sc?TX=7$}`NH0HHRh6FxZ{R_bUnA%G>G*`-D2g>=tZ-t7rU-wdu?u4;FOa#o^$fV;l@miSQ`IcUH+A8^XUc8yD21@)A}C zPpAWQ;7Dur>v+u3xn$^8KuLpjr#mrjsWEJFQl?;RYPwA25!$Q)lewh+tt8o-FV8sAHkuK~((g zSU}b&;&*g#?%V$KnRGg4uDF9|FBD|Qr&PQa<~iUI+`Xr2#wU-R`7y@ZkA3e;mZh|$ zY8{Dh4L;1`eTPFHBRTbZWc|fj9{yZ4C|GT?ZcWxRO@ws%_-8*MPm4}=f`r;zI-8I_ zai-GGLh>9efM_PuR&OnNApHEDRX)73G5?Oa^W>^NmsO{~ySpZL3^d)f^we1gsM_CB zz3BJiK7XWf9^?ZL`ikE{Y1rg=(T|XhsRQEk@!!z7-dl$}kK81D_{uXUrU;T<`UVA5vO=#WJfZ!M3m3tqGFo!|=%XSZ z)B_M=QhEkRd%Wa(%w|9hp|jC!kUpoDJJ4 zf95IrlfVMv0Sg(dOZ>Fs5MjG+ns}c#@G9G*|CP$edLUN!diim^WYCTz0o{;h=@4c5|r2| z{_S0m`}BgYL!zOx{?N8S^Ca2j2IPP=D^NsScoL_aDva!e;NOBi624`3Lej!w2weUi8Uvz^<13 z=DeumsSdzx6$@D?n?2vb{%v)Gi5336D^Xoa&s$bnnWI*|*MFWqDmY;~cYinfchxm|JyTQ9!y}nKIzHuW zcYIzUhn)jxt>+0jn)@0lGBiEEHg*;*&A1`c~sk$sECb?2N zi*|PULYedI^hFfp0nuG$?_Z`rQ~m*}?&lc=6+H_o z3Xiru3u9-gx0N&MJX>zbvoV@7R-^U0cV}Dh0=jvoJNfwb$??(7bapy^cdc`;#=V*K zU2^B*v-WP+arg|L^=#~hx1HtUHpJYZ0pFcxv(I_EN9k zpHc4UJ^c3>&+Yf0wYu9ww2^%Mpr3SEc*=crn19oW9@eoHmA{C2Nv@EKtarDc3rmKk z*;2ZE?D@NKoT5s)E0=wX2nRcoGg~X4_YTpZ*6Qd5%_QuRc}lr8Wd)fV4z1H4rQBmE zyT3MFcIPSbQ!8KZ5oK5sMzKUxd?Fng5>Xm+ThZb`85kF1wr(J9LF!~>*PvJNjt!7h zwZ<&^T&>F8;ir+&%v;%uIs#m%J}23ryTAPV<$wJnEvJ9^h<>|m2wzZ7vgP2KZ5+RA z$F;s65YzOXQ*22nXFC6M<#PHH^d0I;Mh>aQrfUcOqz)JEN;hND!_(5a8Q@B=biyZr zr^NQB=IEHRrRREP?h-e$XI;EcdW5p?>55XKj`hiZ>v=_8TL4SfGvz)~;d?xTh0*>Q z>eSzNyOIXjZ|Uu0^@^1PO04DY!jO2kID2rO8=2!*as&4n7wQg#W?*>7zF9Rbc8hn{ z3=FL_W*U(&ytwTyk7ZyaAL)LMe4VIkj1JI2d)TgN`48xu#1BYLM>d6Lqz)b9Pg>@7 zpY)F1v49vOW~skbh48!tK;<^+}xL`(fo!r7er(5zSYMok zwdOHIoS$ViwrT6hc+SR=C{M-sW!_=09f&dIJm}QPupmlGbX4_3dutLq4@e?~LwEnf;leiMT!|q~pDORj3QQr!!q0n`q{5nU*rD}J72Rp7JpSsx; z3)jq}R#XRjQ!9Kg|8r_T-;f31`Br-ecr*3yxpRr|3AxAZbE>?k*DW~dkQevz=ZRXB zUjtKDB7`F!nVf? zzStht%AY4OgQC3goZ|!fNwl1|L~Nt`PX`BpMHVZr^x0Zc_z+a@-eZRqKbB`?&8Q$J zbyMIC1zD+Q%uj^GV>j6WxL{_{pOOVbIh(y zt(Gf(rj;4si_zsdUrWO12w7=Qu?Kj2m4NBF@$Y1U=zA&0EJyTR^LX@7<;QL0n6}iV zBT|RidB@flofTj?Vl-VyrIEmI6Tz&X|`7`78ZPNAjP&tnR%I#tm|pqKcLYToMkmREp! z8-E6g7+e=(@8k`l7Nu{G|1R_` zS)i&%B&%a(Ngk~jE$-<}bW_D6MukhHmurS1A?=Nf91Z#o9xamxhR2(_qw<)imRopn zcwu*EO8&58cB+yn$(y`b(HMQN^fFon0~*py95`uH&vY`o4#Yd;?}J{V&z19)8Q1=R zoFjfK^u?TGSBvk;2x7;|phb#+l%rD`h)HyHR&%sC42vGG`+clN{zK1gl4o=>fOpI}vwJKX%V!*OZQm1hue&>GeWLh3 zI(g2-!KJTC7O0~#wZDZvS5&fh0@^feF=x=n-{(Qo8UY;=DDQYmnYsh{wxX#BJ8F(2 zjL&$rc6Ey}l?v_bNGLbVXHPV%^c+YlXEyTMhjiz*T^LB3_}N~1@t0y#I@?yCrS#P8 zlE+OL0@W+r4XcU0l%~Cob*!h?@~u%js^H3FCcYsBOGHL?D_2YBJZ@35IiM~rcYBpy z$Mg|Ul_t+HXvA^IfLPAGVaZL`Efl^yR#~H@aXY#(3!TJ~;sEqO z+q1Rn4ir`P*Ez;+JNJMJ9`Mw|rCW7~g9dbO+6d(bINwTQHpqC@6WG?lnT(<*%ObcI zwSwRsP*VS-&4}mO7!PflxI@&aROh|OTc?7X-xnFW=D=eZ;JAsgLa#QWHHB8Brz}fP zl@s>FS2fO;$$=-|}QPrTgsl zfArsE8%CWl`TwqoVo5JsAsY6~2%631;^S3rQP;=o?}eWiEO*Dx3ok%AaXZLU_$_$` z&KG6q5S~lKZ8*E0Ky9oNYz!HbvdhiIn^yKS~2ZFb7}@(qsJfP zbwgfIne|lFl8LQq)&(6Qda36_R+Qy)$QSC6M*i5{st)F+j)J=y|F8+N9pQm~w4U^st-??ts9cz`SNn-+==416_z_UR3833OQS5n z3DjF6O^tqAAJNdFztYKLIzhzmnO9lpW6G2$>R2h&bqbFs4)4C#UimO0vS7_XPFRMZ#PcS&-RPk@4=x z_^kbd9S;~3SSnlyQ6tV%?RinBD7F0#Him8n+|2PANv+U?>N8bWE8o$0X*bq!xT||) z$B&H-C1;k!gtR=6bvKSN!w$)+VQEvMgBFbsT`FwWkx?|!v$|wIprg-FhtjK3XN<%f zht-0HQ~A6qD5pOltz}C>qw82%^g3FlN)q{>+L6>1v#Y2o;UHznkZvttak%MS(MEhf zB4doD+ttyM(>ku!yyseY#eq&p?=QT9ozR?3AI(c+*2^4)Ymi11$hEM$I-Z7hX5KsN z?4>fAv}kqNZWK+~GT%Sw@6M$sB%*1It|~g3edzm+S{9FmXC*|mYF86q_89x^81W3z zdvii@PrlbhdIt9WzwdXTI$xdPUheI$@H}`nHIm`pbTQGaf~7v8k?cb`Y~1?FnM``o5{ z3jJMt05?m*jn(mzHqDcYMwOjed?Si4VvL3Z)z=v#(3bgKbMSF*tMvh@@Wb!r=?tBk z8TDRJ<(vxrU5$g--$BeE{6uIQ_wA74H*p@)=cKz|TCWJtN^;5XcvcQ%p@~D|2Zn3* ziD|~p^q=D^f<|=Sai-p3pDEV3Fzl0_k#ftsJ6U%KFUr)Ed@9z*?s@tlzluiq=klt= zEi*rg-K}1g@R0mgze=1z!HEC2y()1xb*6u}SEb8GGNLExXsLZ|>8=}apI1e)AgC&+ zwCxK$<_#$nCWI`O$t2Ab%J&%J?V_jeP#9UwBjYRg0!*!BpLn)yqe zBJWeTo;4ct`>sZ7PSe$J|9Lzu|H70OyI>W*KZI|qF2ig3&b=bvZ~_+s|4l3h8SJGF zt!%I-_C`Mm)P)gjNKfh!>n23j)qkktE0)vh(tp6;pG0go(QbTKb&=&wE6?h8X;SU) zyc<8n;z~Zdo|qy@+$R-Z>P%hwDyg>e&r_Kt9NKd}C;nBVf}XdK)sn4P!j>vj@^>X= zv{UP3t5j&JlC8hud}1o`HmYN&zw`anc!edrC37XPAx6f5PAC@W3S1792GxB#H7Yx^ zGA{s!=GEY*+yduNb@{GsMe}7ZmDKg>9gHcAhSE2-jVsmiQw!96HrxX^*pHZL@0?ul ziSG~i{y^W?nBq0^2fJ$w9<6KX9;T_RW!K$l(@|Q=Q~w9w{=fe3e_{t7u=VfOA1D?v zv9>4paHuzh&z1Ur+;w5l)CmGP(&v1s>Y^HyH>Nz$2a-<=oq5j=b}}OC2NY>H?wGE)IL@}!{Ned|3E#D@We(p z_+nvGO=j*Ly%7}ml7|!w*W8!zD~6@+xFKB>r%xH#UeLjCh90NXjG+@-|6|}g@jHBN zjt2B8n^jDn2>4@FO1ryRbza ze65P#=jj8c-_48Y5H$<3LchoNwJXidfZjuJ!c92Y6}^?txWgY+Q-qyRH&6N(DU(_| z$#=j)Q9X31=kZG#d5`^bGq{e-Vm=3rN#%%x!R4a*8;WNi8^^K5o_^^^H_dKWMMm|A zf#YcVNrK2G4#e}~EZ7OEONt)9{MG)O;bM47B4b*(@lpTFqXTw@Y`aIFu|?M4oKKl8 zo#N!bCz9D6PxVP_#g!$xcCredLnGV7Uc78Z1LA&Z6N+~JoU1gVm+T#UNW!5&i>`IV zPCL4arncIT3J=H}@B=rX4P7-Wv>)xEC5Uo{iEiO-IG$5=Jm38y@Q&+1a|54RI zr=~X0NOf;k`s;DGdaJJeX!(mYd`5Mg4h4-N(^c#BD6^;}|1AT0XKh3i3tKxNy>qMg zhFMzk<^GEo5Vdy}eepxSrvf?mzt9xgHM?VqFWtlVoWAv+eOts6$dOhYOZ`xxA9WNO z!GHGQ372$vl~?Ry`ZjiniXq2yFPoo~4G6O1)znX#4`W~(wg@R#D!3Yann6B`a%zl+ zxFQ%%Dmf~*L{v;|DSUwZr!H;E(5K%mRr{&P)w6Uh-^a%cO+C^MGka(AtCk)023#%I zJEL*iUNh5vhj(hEb5x9S;`@xr96WDg5nrIQQ_j-6XKcU?`Sj;H#mWP8I;s{gJ!QOq z=X+&Xa9`A!4LhFL5gigh8AQ)AGVjD)u<};m_QeiJd6DB#n9?O5vT*S@%^5 zNtId5Z`>I+XaSr#vY_0W@*HP6t&XC4UNbHn>yTBmGIL=?>jL?axIpG8j4+kobz`mL zSQ~@e#VzOELR6TSh$Dt(CM`>~YU5j5gN-%@Siea{|I&MybJcl_*>r{b5Ieq36q9t! zoCz9p%NMqVRq6V5c*m0lycYL&6FNF~=SI4J(=|qYFZsLlLia6ADMnrNmxFis63_bY z=##gBS9NP99<>n|bQ(7{!?$L9hU#7|JZ%XzuBv1%1@UghjO!%nphau3GN z=p&jc8ag%PT6v)uW6Ws}+f~>S^p0jLP+0oeabS1`&#Z~>H0J~JoZeIFX1r&b@hD z=%3l`^6LAqXoci8v;C@fL7iIp1-wL`qZvJ-iXMDLy#C|hBmE32-=L}^aY%Yw-ptU` z5ZWn`mC>AHmATV77yO@aNAw&j2o-e5M>4yTJF*k1_^Zln*1s6xiu(_T)2#X*7U2oy zO`-+vFSSg2ukgJFr-Z_hR@AUI`s!%vm3vo?T)RTtF)%=~h*puLmPnH0MH}H?Cb!*f*08Mdbm*bD(`?Lb^j`PhaMmyBm8uS4_4DQpHB%zW zv!^U^jZ2Nq=gn*Cm<;L9HJrX+CnPPk1I!M-j&?u?ylY!7w!?U{Q1qTXo_}#BQIIRU zB5l;GV#E#j+>r_9e$FNCXeZyGgRiQ>qS;}K?txz{yIbVY&m9^$mIPC;4fV?8-TQFx z3*wkm{C~`?;B3{`aunWMRwk|I#(gV}U4=upMe|dY(N&(gMuXS;+`-|o@-S9x!LG6c z<-GWpx9A?;5FG~x1R|0CxbSyp&c)0_hj2X^-YAVjo;38mI%7vyKvG#NiP(?yv`>Kz zG^Z;oXD1#j+6`UmUGiU((+mZpvv3opsLCpyPb^72k{u65uNVpqXnOGq;jmeA%JGjo zGK$zX9g}r}%=Mh88B~gvkgMjrLcVh|#${`Gr@MEYm4Q?cPE3gFc#cSGp_Q~V6qR?) zN%cf1E3Grdwix0~;YKZj2jFblT_2<3-VaoZ*;@o#96e4rnT|dXyMnDCsV)gxc4Uk+Ph^x!bJx zFC*c+iQ%fBpuQ2mugrx8bBNZuH+LYbR=PY=uDqS@e%8}8B6flzFZ89kqV6U55mE6H zI}x`=SRO}HFQxSst6G&d!H)#u_S7Df)!vK2Hsh}L{=!ZV7xlX>tLFcwkJ`&A;lf`I z)@{kHF8!68@gs*nyq$j~4T$-i zsHSbqX#iG9{tMp}Y~VnRLZ6^&RY^PFuDvOIm`pji9#v1_8kUf`6ZP$h585a^pjb7G zs{Y6qc-I(Ly^+zqE+2nxb{FY2F1c-fjUNCsmMx_|Z?ZP7+dvL`+H~gat_*0OLHVwz z9KGSA_zD)6PfFF96{jlQG(Op~^7`Q`)(Xe;^YccZT2Ii3 zely>}_Afm`+gT3hPf`L!e{?w2;ETFO4ql@92xojqhji*r2V$S>9rd%+y^YS?*m}~s zxpDDM>JH!|5Dns4F=|7cxp3F0UHdL<0>*j4>X6vW*OC$G9}+!EirX&`^=P?+s$c8c zw9$LfYl@ng;VJd($y7%J>7Oye8d(p!>L+b01&Ksf%nKoqitUd4#;YOQ&ATFr30LGE z%JX&ZsJ3Q;j6x2)uy!hsmpohMoMjyFgLD^W_V}c*me28sC6AAuB$_Fj4*ni`tVca` z?;JeA{wS|R*8eIu;AHAY&i#{l{*U0;KFVSSNmWh}`&B)&WR>@?(60R)cEPw`hFCgw z+3H}8r;qz5(ydizPW9>~zj>o|K~{6h4$waqdm?(DY1DF7?!3#4LpGGvOI#9{q>eO?1TL{;|B9k|9Xfjpnm8sFk?`w3lJhCM-f>pD6 zgk?KI&$i^#8H>W%BUz~L3SaN-eVwmabC(Zl3Y z71cDxJ+q;zE%qh(WtC2j9-Uw@9ih2!d%zxPjPMD4gin-rb##5Okt6CK+9s6UQg0+y zJ$B^&JhY2P<&w&Qm-CQ(tXL-L^q zxF#(Y)nJ6)c*e?q>76!0Q;m7by(5gv3h}Daw_d%*@HM05vh%W*@G}kPuQ^BQn`MQc z=z$;|gE8G#iTn3xuZLeUvwly}CnU$btCj&+?8YXG(%(NmM@n{YL(9qckp=Rjhdy`M zY~XF+PU536_u~yW)NcrlSN?oiFZgGvEwa;p)ojdf^xojxPffP$65LI_Fy-f$uSu&= zJ^Om20M`f@E9e{iHe{==M*8Bp0a7;sV#@yipjJ7!lDHi^|^G9dmq2O)Am&-XQ#wKsL zI`K#jr>!5DVOA;Le~1U;I4m3472J6=q*e~gmsh2qcJoJj(Jt|%4d;IBP`-8f)Hhms zOa6gJ>?;+(L^A~|4#$!<>^q+Gns$|_*s0Las4N194H_1$O9$a*kYh3c3!E#ctK^QT z79@8sq#lUm|12{ksxqW+wPJwwo|eBi`%}-G{h~L{yslJ;4TpYK-XYByDJi)Rb;|Sd zs=rTvO4lweW6AF0nW}yHdqUiyC!lqgv3wfUvl~6{_nz^uGmp1SX-g3?;dz4>eS|3mA=2csEK`3Bui6gSejE)f?rS2F)7nh}0V*?~yf zaZ1g1Z?&i7oM(80x(_YZnz@|&2#$xhq&;_{@Z4(nf6lef?amBR%}a9U$`O;W9^nPe zKImlihdHjg`7YAqSe0*eu0p?;vzC?=HpC-o7m-b4nPLxz;tk9ao$(3}SXTDUeJ^Kk zi&tlttBgv|`{X8^RUN}Km4#OH2yVj=$vsrh>!3ADZrKyMA-b9Gdtc!STWDivOZG$Z zy-j;*I+@_iZe`jy3$$Yc77o^|p)JeW_HGxy zwe-%}^TC!!aKcll8|u=wAW)=#RK>6gtHI%t{4$kt?W2f zC%sNcX5FSL_26{ij-?S3>4FDC{qh@KK^vF7Gv5@Sar4$*O+AO~@NMYuCeRp{>Jk87 zA|@ptahPWeW8vF&ey2B@N2v#*dLmKK+C{~@appNR9_>4t)Xj+Kb5OG`{^}afqlCxk| zpKLkV-=mpAVcbIP0&;=gxAKl@OlEDq-m%Xk3jTRXK0EIyoDdJEI(N>&J2Ixibo8-s~$+|k5qXII*0wj zmX5@H6{%y_(G$>S${0T?vu6=2Z9wJsnIE;{=6dlpp7RYfqYIuH^}kx?jF3H{`;F$Q z2}MC6 zs(<1B*Rq^5gW7f;(rn>8s(X=x7gBUY*6jsvibxF9=evpJ9rhr-j!qY&N?%y!+99HI z?RZq@wRclD0j>$wfOlLmhqzrI`^WUvy++65ckLWC*zF_YMsn-YcYL!H+SG6{Dzo6n zit5w&2f98sB%E(SwJ@YlhrKQTpS>S(kL2fFo!V*M3fV%9>D}4e9+8MRso@zMLDmVwWhNGZ?R$1v`vR{lxqn(PsBNxRRfIjVl( znDfD0)H}udhg^}}pi>1|ZKN5zn>&pzEvtk2_E+}9tG|t;mLsIG%DGdz|5g2(85cgH z`;A|LSnUlQMwaLa5^H!L9eAZB8iM{vR|i=d<>^EeNvR}5iwHxHn?xJ5J3%I)-uOqsph24w( z1Hto?A~l})#-DXoHA2dFsm7Atcgqg+LutBbOR4!fK6DD_mF|f5)d)*#;I+$$XgQEN zoGRlBHTW}D%Typ|tP5a)AV)v5GVKI++t{F&{axwr;k&~&N0$n{j}fsO2K1?#muJn` zBfRJG*BW0`&H@YvUiWxL=N%jrikCDC?>rF^v}sm~e;JI~a_KC3-~BB&^uF6$yk%%_ zJz3P8oFnI)K;j|o8!(^Hjg!@mj|hFRjR~!dJ?XoJ*5Oz{SrIyd{QA}vkkQ}((?@8& zOc!``0%W-C_@H(oBDziNyFK&lM)lvOJi+%=@SgDXk*Pm$3c=O7*Z{=~&^w7c;IsBS zoDqio$eD##)>dz!r|x(6iC;*2;~n#s>hLsa#nJ_d2VmvOs{Nez5RON6N<}}3FopKw zSPpVPkreikx@Fu;^M36P*jizYQZo*eBslX;ENq>}``)QJnPwK+)gf^r$@CQ>H1FXF zXyLkrXx^j28TLwh%a8{%7w9UZ-blIaLVC;;Ej@P+4QY@5isQSCrUdfS{Ay+|V+6Vl zYmOlerISb_f@*cw$??{=RoSzrDQC>`%XpH<+V3(#4rZM61>fv5yCi2K^q-g|0aS z$t91*0VtO*MA;s}hBF?L+!++ICc2xX@=Ci+Vq;_z~VNkTz*a*^+NP@Sr@TJ59YtQ zk+ciP3UU^K*JQTxf>L!}ejXv8y-WEWc{rtQT03F)%r%R30uKr68plF9_U2)G+B?^vL9G^_E^J#Jp^}A#*CvH9aW2Y_*Si$g;2n|2@dFf|t zJ6WiXj)#VgRJ@8>H5o_BTnAx~u}4x4aWjOh6o*YuTI`DRq(l1&z7RdEbd%hJExjzN z6gIJk-c3{20x`HhYWX<%U%4 znCN+H`MU32dO@M6uAMS@j;yOFhC)$j+NfO2=2((h!=$G=0O26}Jb>~lv<0XnIow&e5t~x-Us9#Lh94ptz(%HIi0~dfiA8D7k!v{u0 zgnsGz!>oQ4jp|@Y{^1c;wW>EcQX4YDIt22^wMf7<;e+z5twqTripLiJ&kzZ zdVqET%le$p6mv$KW0yZeugrtpxi-hwZfd@rof3)$Nhd8t5lv(A7)d=z6;lDZBwnol z*bxv12!&Usi03Livv09e5As3}h9j-PP0_wr!-?1|VSPl6*W zk{Z!aMNl|%#rz|y$`<({bCz#O@oBu#*q6ei+4F+DIbmEQ>-(GEx;>BZmUmVKniM%q z4|?^FW%NtVRvr;`@qyZ*zH_Kn*bpHtMH}RA^pBJu%Iwb7-+K9+H|v%d-5`Aoi>7n$ zOc&kz%vnRp@4ZWkGjoIRCvaEnQN@phP8e~?O)R9}^54HH>x*Zyh8DL)InG2?r#E|V z9=}!ewYLckC~Cp#9%F;d?=`D)o6YvZCSGtTo@evSJ<<;zt&A#tp~z%3QTq?&FaDxv zDgNba^|eJS*?4(R#*JZC*I}&Z=H0Y%VdWE5WE~t47|`+6fLK~OMP~{hiajWURpsT( z8&fDBE%$A=S#f48S_koht!YKxrDYlkrO}}qYbnTxgXVm&(!818QHBJ+qkA~QDxa=> zL*X%F+)7QU3e0akGoVYRx(2Y*_Ijd&P`uR^u^z`@A_M z8inQ(^~ky!FspGqH~h??=iom2u6+vHRcWY5PdL;m(Q@oqJ};ScijH0PUJ=qKsB0eN5|sshE|ErpLI!OvquKAsT*o`9%@!-&5pm3m4ij|w*PZjP|70$^;9$V z?2%Q5rt--^Ln|x0-VAkdj{MVOdt!@Suu5Gwa46+_@Slo9;l#wF!~u7kZAZjP#+K(! zCTH}}QP!6H#Q4AxvmO?i>XMP6w4fZ~wqEV!G+L~XbW~2eSzd=~O|4NE0-X{Q1jf7i3N3!6=5Y)oc%(s}i{_Ii(RDH{q+f}PMA1;+}H$!Y(^{0lTy@aNM1+TDR0Q4?CW zfB0kJ7u|iARN6)3zLTkkG&WkQWbkX{x1n9 z=Q~nIX@$(ZBW{B%CFefnY2X`XE~*%Tw#SJI$Lt!FCGR)+qwZDVzp^rjjF`juO@kAj z&NunSkX1`u2VRzYIkB%vn4mzjojL2&ZZ>8&dawGgn~eo5?5WIas-M5XbK|}% zLi6Rg_y>C1gV%%I7OyiVFNKtd4AquDsLBRWWX)a@QW7X5qnSZBD^FUL3BrxC_v#c} z`NOy9po?E5e-$s>xEyM3f_nyyELeW&+@b2Up2*%kq$3Fkzuxwgu?UI{AnnNQnmR$Z zP+k) z_RGHuJ(U^D;&KlJJ(HX=bQAqlt z5b}v^U1SIxt*o@2DN&n1@?t0f}$PWC_2mRIuKgekqksr2d>I1neIw|}SLQWK~ zZ^1Fj@*`@dmBV2i6%lTzf5GgAnw`Dp_NgFE(CI4@RS_0tU@A9!lXxuO?LLPG#8&qB zGeqB1D?)Ukyg>PPAWw)dvXbtFf&F6Lnm#B-yp^aA%6RDDgPstA$* zCsp(Cs6h+_L`=d4IQ{5nIp13D5g7@9Rn@xEwUV7|Gj$Qb-kA{|9zHI2CvPZeva|L= zciOeP*5mpaA59rfPiz%_BY)n+meh)rIlZ^imP%e`CB^eN>jSE$3zFWM*rY^a$jAja z3X)x0=mf-_rKhCw&9)UzGzSHI!4swe(5+?ef5AIUotb+w-8LHHPvh4s{C=!T-Jha{ zZSH^bj_;+DbVFqEbrRLKDjqZ$6X*41F>t@AYQJS$gFt|L0{2b!8rmvqR%GwN_ye&; z#c33q>CPBTA2BfMK!oieqEfPO_KIy|;!}Qt7A_3ylY>ft~x119@%+IbFcag zDAwS91lk4E5-LMcJWjx|D?Q^Msos?wAonO)x%M=$SKJM}BGnho71SC12UZ;ob%r}@ ziFLn><)MDW-^pG46DxR`zf3-OkNX?73a+qKgcL4)oqZ>CtNgjiSKp+-qu7cUVyof` zLnkQT%|6xn%al~zF%d4=WdF1}USmC>p6U+52mgIn@e(Vh!-~1|pH#=I8}jc|#Y<;4 zGS*xF#5!IhW8ln?a99Dq^D16Veh&mR_V+)fj#uaxchLJcsp2J8jMjNhe(0Z7$NSHz z;(cV_#5oCh<-!Y9zUr{UvP&{MGX6CBvm>aWv=*_x$L_lrD2h4hvtkWNBRg}Vjx59v zHQ(^DW#b3S##`~>QVTW(OMp!FQ*C3Td0b)hm>j`@Fj;Z#RL_#fB}X%JrM{fMf~Sg9l% zAnRI$X`qg^)SIVO6*y$<4dxp*wWQ(gaEE!LYf+=VT(v!mR8tb+%*yuirl_(M8d5c; z)R*eJf%hhY0)BiGq+C!$RFRAz%e_wg-xzaAP2RbnRk>1@?3VE6fuPEI_wE$1j+h!3 zm^4+}**gyF3J;DG#G1piKMEhhSsmGl9|G^7b@eNC=J!W$K~&0%0IQ>%YZr~onj=4* zmD?YHGkuvlpCM$f31e4e^ZCl)*?Z>iRr$mW%I zrQ8O*IX9;$2mXDnk}Xg3xAY0=gG*=d^daq&oDT_@OP2Nbs{erk7 z-nH=w|3ekFx{Hbp!xQX|XI4suwI$3T)ws=0x=M?(9>*VePp`&AR$6^l#<+S{>4@_>DfBrrz9j=1{;n0?7`nHS-qI`n*`}?rTfm+41G{+33&CIbzwmBZzTI z5f50*ZZOKSo8M;Qg{F>Hw_Y_SMR$LTQ{il^-|n2E;xdp;pKA7kUXhE+0iTw*z0RGu zfe??r!kBf^PaXXK0}B{85o)f|pba@O)=@XGG1=)kvi zg^uc?RKr>`EFn<~|Jt`Sn$8(-ykDd0Kp$^lPp{NIK((Vz$^j%0=+=dom2xQ^#m!La z8FO;JvU6cjRJU4ocZavibNcVn9WBoptAaKwX)B&-2i}9f!6rW0Ag@4`#LK85sOw?+ z1`!Zd@zIe}a&8%oUn@Z(>wiR?P#BSqRNI}>>mkmQvo23T2P=Xk&$r&Ylwgk(TP~lg zG2*B}b@#30O5!dn^>dbJ5qaf&s7DeC0?M@-QgzKuW!*P!9~}d?tly?V$;Ok>RqWdm80xw!psKL#4JtmsohHvm1Tn0JVqrAWi9Ypc7UlGu zNs*KyK2l-=<%~<$HPtQsOm7G<%19pMeMTk}V~B|7HabC=@}0mY_<5h<{{A@~$5fq$!SZUjSjf1Oz?Qy?DyM0hE8fSiudCc2&Uroz-ba9~WxL78pQ$?p{ zy}zT>=dMa|?FSsoy$hsdRO2Lem_9#X27<%R=INf|yB*d{T2Gt_KdaN0Ioke+e0phK z{zhDwNS7p5_Ba3?t-2!d!g=%_pcClrnpPv~B@-N3(P_9UpkQPDPIZ@xd`9q(4Y|OqW&~!;EdxGJ`oN@?+IKn74<2x*zp>(V~@H^n7Z|%>p@Vd z*PnK@0rqj>!4c4uzv3v*pM1Lka=bHB9?Vn={(wl_ph^@u9LNoChMa!;zPUExLTbN4%(SZLAE>*{sb%R}Y;2fH>=rnJb9M{)me^}2}4Yf&dE zuS;eAIbD3{zlHXc9Dr{0CKVUShQ}`NG$LM*a>a+jZj{}yJ9l3}>Xo{q9wLe?_-uNE z1vSIQ3*4xr>9g_nVy8LfRVRhX1K=f*i(p=&EMlFPs9UfL{JlBzQcL1`##?w3vh*?i zJ#3BSN1uPh26R%`i(_tuKdXtL;0Ih8fsH1=fR)N8D|D5n0^cb#WUZ`McROka8p}9p zgA^H$85M8l>iOe$>fT-9rwaot3j20o=W*h~a!Y%;^0uNj)R7%Mal>aHsV=$pw$jx1 z%;;Fy?g8GZbj}y`Wj8hYw|8zX?r%3?<}y#m35s1HSn zV8JuClDQ>#*C8ju?vDO)B{qOw?tM?^j8>Dzt92;y(2X@$<%Q(W`O=@$!#zdrh(G_P z>^QQ38-+#wLDse^fb8-3)=**Lu96WOw5`w~eM;SA$cNx5Ti4tIMGJ*6i@eJ(SG>YO ztXzJ_*5VoQKS#K*OisXbz!%`iRHGEN|2mVl;x*?b{=?O=|Ek(pV#S&vBK!Y$ULC85 zYf9r<5vp4io>4htA~@#5-(DMwO(+SdDAMn|I`&^x8%wnO-=sSBUsW5ctl3t2xL6NW z6aD_GW7~Lj(Nz)o{Qp)Pi#=f7`}?ns{a4k-f+;0}#C)mWeRb@=sy0?u@xMoP?7ylu zc5bd(CBO6P*v!!U(`#c@Ve#)#9s576CH<|R2TLYG7OX<{Q4=pqhT} zOmnVP<7HI)K0O2XrhDVhS(`-eZS4#BVx7E8)jMR+B579_X#{ z8Qbog_}I-HBc_Btw_fSLA)mkeE?2WHTzc62)EV3?Y)-FNFZ8|9HkEK&&fW^gx(Q{1 zV(7f_ru)+fnM=nVd;)cI()Z-|gR-OUMV8$*^*DH1WZI#i|5oO!?kY-R?3p966TGbH z2T!R|oG_=Nf>7RF`$?ayyNyiB5gBe(t0>CI8-;)+66yHADRJd~U!W*gRoi16d6@zd z_3YpPKdJII=9TL^;*qt_tacU^J=4Ff(Y2Cp!FU>@&zkfq?-+lM=!J6*yc@qYe0$nGE?E?xV}Wa?Bv{c?DlJoe%W8I%$yqj@XN!;TFhh&Uw9mCS zV#Q2xZYDsps`Ko}#lAt@U5k9e;)rR5x#gekO|T-?cM_`HT?n>=0R?OqQ!+ zHgghiaQL%H+!!+1P=`#K=VB_iSbEay-8yHj-e*nxK^Rj{hS6+gZq=JHRZg01T>cv; zM$GtN`rZ2Xqu(M8hyDYp3piKn1hNfV$jdEaE&O-MSW!u+^1rq1)C-=z;i^_*f6GKq zH)bOwcw{47&wIO?cbj^^ZB_FMZJF_?Z|eE@#j<5B^Jtl*#7%$#)TXx2L9Q*lM}|Ke|G42x5=Jy13wEL)@g4Q2zTZc|fdi zZ+yP+X!K?BkIDqJGX09BW2`lybpA}{)YWs7pXlbcf#~Vtyt9* zzRye;Mw-=~sH>7zYuTVRPIuR?^t0Bkcl*8*%ZBG74%}JZ|H0pND&LYFbGM{Nd79o9 zw%0A`QCD?`zK!e%I_nwt%Z~2vo$T=M?#K>shEQMj{^sNhb?R6CIqDaYTcQRhmNw+l z0Xb`V(Xv^J?HVVhpTp`pG9~l9JQH0Ih&N}ozEC@ucbgs_wz1o{>%12C=pE&Vm2-NU zf^crlRc!g>gPhPp|CqEy57+*Voq272!&zdbV!w~i9q%tzk}7-j8TG-pXM_D0J5UbE z(}Af|+|KOz-QU&HOTwjk~9L zhMZRPupmPRW=WWa=k(Hu{iyP9bA@gvP~bYIAA-516Yl6F(Go8}GUlh--6DB(-!&^# zyY>CUMvtd<)JrN+H5+g7J6Mrs+SYeWb{`xtFJ zxINeSHNwJ5OVas?*pF}vk+DDAI0DguhfSS&^t5uVvWS`)Mx6S)^p4`X?HJE_S_gp zrb`k@xdP4Yo<%XS5y|(IerSbK^gsYBO{Ni2qA>k5)!$o{CU8Jdg7Z*#)x7Gf%pc+oV3}Dr}1B z-^A&x{(|m6S4)r8-xBWc(A-bu<*f==930$rNFy>WG>sx>^!sgB1&xKk$9_zdC6ZDR z)2BqALCjL&aNYGe<7{2Wt~(0qL6y6eCA ze*Dg-RE&O{JjW_h7q?61@d@Q|y$-d;`tH``39~Q3Gr41EOqtYasrzfpyynwPPT$1} z&YgJfvC#6&fP~}VD7cv_f2_?DZigbK+Ohi9(Yx{iv0AX9XV8;QPTmDK$ao%hhP9(P z$}t7%Xx(z9(<^Z5Y=b@~(Q%JeUaOX9`kiwZ^q5-W-{NR0@0doe_wqlc2QKZ8kKKir z_iucl8_Zk#l0r`(F1&S=gu6q0%p)`<*XDsl`vzFI0m6?C+@h<8bnKY7Vrf7uqf89U|)Sv!>6u znnWGRqzx5orza&74XBifUJiOIy(2Z{NpF3no^oC1P4k7G$`rndCONZG#b`9ctWE)P z6;&eS;j`M$lKOyUch8HncfA+fj|5Zi+UUeB{bVgYJ{yUXHv=ciJK!ValcgmXM0E~V zd=U)Pf;^xd=LsbD!9UAS03oV+#w{rRi4)emK6e={-$9;1fzk6RoLE<{ZheZY`>A|l zi#cJcmLTE;w^M`Tj(T`6(|vJ~yQZS2;6U}MR4>sUw}bZwp@VeV0|$gmMi;wR9sLmD zc=}M&P02{EBXv@;mA#K&z%(F`nd_t_qmzNfjm zMNZ+7NoQQRTNHI{`CQLw7axO3qrL!HtsS5FuQOHwhu(P--$rxkbDAIik$d=Blh^Sd zyL(>GwsIJAlabBT#mMR+-n5ORqPK)@&N?{Om1FLsrnq~TAsy>UNO~PmMWaZ__qrKK z(=Fn~`d{h(=;szYR;R}Q%4hg5o%qRZxHI2lW#kkEQm3bd$9|5c%5Py0=>N-#P*-Ky z9GCXdG>7&%ImRuYkDl@Z`>J`$vY+BJ(`R4xKKr`+?AkN^yLqGE$LwV626~IaABrC+ zv*ORh`#ux(@ONU>YeMV#XT5Lyd8z+drf}-J`Pol9v7Ot>bh%eB4*0vVbwYucyWS5+_1zHc8j1He3 ztQ@mK^AR>9&)c|_*R2v({Yg_^RGxx&^!K1XH?yoqQdM$578P92tx*Tz^l^~Zn((6z zbJ#WXIb$9sGEQ?_>BCL5Too0Mpz zO>lT~_H=a?a?!QB?C1e+AQ#k0Ms-fXGLin(^w_13Bj$8k-+Uy>rCWExoI+;H-E+>^ z9v$xy>5?oshi{%W@7Jll$2PI_V6PggMtfxgk4U)X@`-rr;5ioX}14=%+TqHB)&SBK^8XWHL9 z#Mamce-hszw+HG$eGqiZZdMdQzhD=#@ocj;{8euyUXrQ8S~)$C-8V?cO-}>nBqQ4A zJ%DM?zpG|4Ze@3~K~iCb;KvcU0H-lVV<|nQuKe0H zsGi&i)g*8DJ~C_;&u7jWmL3$Xy$gsV#0+Lm4>=&a8;?exh7V5gHm{tUSKROQ_yx72 z%p(=%7#VN-8oQAjG5tGlBI>BwBM^mAmrk!G8dNB9Fr*#Br$ycu{ar62bn+k-B<$P{MXo07u%Z%USU z2WYgVO{TU}na}rKkw-o$?N;3)Rb>#B<@QAG$d$O8M8r-tV^e=u{nPr9bVAlCo{(XZ z^_IT_PftxEcolR3w6R{t8&w58lpzy(w0<}~aIGlx#AZ9Cha7#>peH^d9N-@GNDavY z`UQ8pu)gNW&cHO!t+OY*yUC;Qw95C$MMQ4OHIM6?iB(gL0`De{DVd}mgmmfice>B< zuB@ao716cUm{j3tLICI;PEqgFseZOh<5>+AWe=RF3+J@0>pZC|nlUL?+|C=JrFnpr zNRG-o)|nHt(}Y)QI<_8MS)#`Ibk7xDCn@OrEB;_tYd7YPKtf^BSo<=;kBs;us(j1J z;idGz-1(v6ib9jp*#m!r9Y#bidBc`)S+{Pf=cc)jb@GPtjWH=!lJL|XhIFcIb>ceV z-GJKb`(S@%C(MjnyM$XW;HDWnINyK*Xv#C+m~y^h^Z(JeD$el&yN;eU&7IsO%R$tE zcS#a+*Az(+$3g*7@5Vde2Ee_Z7UXK$pJhqc<0@w;=|F7wV%t` z^79GxXvg@mnnJBx8N8uhgtBn38&uD3Tjgo=$L?|aS4UhkuVuNW_!l>k@7AhYtQzDp zHkTwLQ3P4EvV!OZO!iM_#V*9HC!U~9e-B|Y(TuLXlZPZp>ec1nlA4$FF+ZGq%J0z^ zhV1P5^dS$GfL~ON3#UpXUzA7fw-ol6{lAwmcIQd2*wX;<=m+E7+dwFWlfgZKF5z-~R^vR?mgi5W7M5OIk5q z&@tz8sO*zrXE8h5w%&yK>Fy4~e~EfmKf!yj)($V8Q%dhDPL`PE;pCxt59w{oO}9wM zYS9)S%TKTr^b^>TEfarY(>9t{GgGbmS?`QkHT#1a*u~Lh z2s*i6Oa{DgK!9_w5^n?f{iRs*#>;UVHm zs>UcXB;!Fm%lH)K6Lq7?U03|+2=2!ApeiEjhtmoUStFh#iu*Nhh~692Efmtt4NV)A zO)st9lJ|H(rcB15@&=oKxCQc4C*R>0Shg08hdw9bv~a$5hJ?E0J}Mh2yPWO?#Mhij z>uyVD$zYqE>vMN`L+9n&Q!SasW{}-}iYDb2nPWlCE$L_NR=LNVo#Vfy)z(p%&$V{r zYxOJk+CtCJ6HT90Z?xcx@PwEmNM9vRwoG+Vq!jroeIV%u2g%L`1;d&eFDPq2;*5GS zIjkMOxoRUi^PkFhUz10Qm#az%CWzBxyp<39Uiu^Map&2F?#Oqr2D+K4PqH*v=AqpA zA6dbyFQ^pXk2$ERcQ`Rc*5qNIFvU^*l)EWp-G0SmGpu4XTAdxrWCKN_A`Ub?$Lp2N ze=)5bNS|Lt%w*roGop(YQTE4$o~#J@ESpdqrd-eT(*n6h$&sl#HcE=I%E(|iI~IPx zBg+@KG;3*V!r3Nyvlh<=uCqMo*af-D<32 z(IA~94b!jpU1HtXjOvWuc$Oi#x~|GvQSS_WmpKFMgY?IvY6hP|noPE5W9{givEMc~ z+-m^Ya~|)Kp3!Y0diyo3G})yYC2_tPi7XiZ}XpmMQo{y=Xxc>ou}%5&0+85XLC zR-T(#3`=lQeV%b=9yPT4uPu}~e!_+HX4D4n_n zdm!H~FBv;@eqUCRW2x%4n)W_7#c`tKx{Nk7^dv7|tf9hrx`+4*+^V#0n~J`*|ES;9 zI~4t9rOH{mOV@k));t-|tARl#I&hFpbM|Ar_dpD6U_nE)YLlahigJ zDzPN@Oa>BQG{LGmzB>II7}1?}vDV-vSkh z&7dKbDdTtHWzkcl@LWd5i9aSaip69<6ptK<>t}TotItNuy%M#+pWclrb-rSAt9@jiJ@^)up$R{#G>+=%Zf2dei5W%YgW6cRCF ziJpOc3J+L!`cv|Xs2fDPS=VZog{+A}jc(<9F8q8|!*&&LU4$#Sx7?)kIdgYORYfPt z-7I;@I~2P6Zg!R$nKg4Nj*2s@o#`WbKHeQtJ1uQXUiFd)L_ORudk5JhKS3R4#lPZ# zGbDm=gI#<_XZ(~;d)k+?4UxZrvyJ7$wkB5i9?VB$b#jv;7uaD9^c+P(iMFj+8`)kz zYrL44?piofn6vH3^C+|KJdpA{=F8M#{2mt<5$SVc!+guTxf74ict2VU8&lr2 zG;5*jnbCw=J#yFa`M?A16 z5Ae93@mWXcQbs*>9aSsSg!;ldb5}xn$Ci!iGPHrUqnm)?x5$ zT0zd!W9(y{@PW02H$78^g-%O>_1AaF)2!}65%df@Kc_d8VP>-Yis*`H6WnEpN9UGOXxJbNq{bkPxWbxw)gkcHLX zW3GyFUJovGgYoB-J(tybtvDfGBVC&FjDp^_Ko%4=C;9<(gt|hHvKmo@crxd`kH$%) z6z3W?q4P!JHs^N4H<#>2-%(#lJTB+@h@4_CE2kH?tV-M|mDtC!sgA=Onw&6zxTcQS-sa-hUUA{a`|216ND8& zo{>AMw#Pm*uQ^Mhy6E~`GPrm4#xs$G{C|IY(>e2e`ma!B{`IY=DwF1w%i`%hGw!wi=4@>5Z#AdG`31%ZzKNVpgA=;D z!@^s?xYLpHN?udu#P#o|bc&2<{d;#CAZNs#cI2qtQSU5dN0a;Mt-L!2QA&T;D!Z)P zt(9^AC0D!}QMh-93l>DHlXg|sj;K5pv7V1<2}?`|6xGe-!}P+F-TNmc`$O+1qPuWA z4t4(x=+=)Mn4HHw;tBj4NtTm&^UbcfO}@|$WQBMqph9rF{KODAiRPi_cNFTB5gF8QIl;%~}_an$O!J*U$1pWle`iwM)hfZM)>2s9=BY8sprgib*!Uz6J99U5W`q5l3%WJuqeAHY3 zp0LH|Q_3h$n|66iDWYqjo!=V;uU(LL#8Ww4>2qhxZ(%)4=c|jiv|x##3Nv(__!Q-x zb+^B1`%gBD=bQOuW^&5&!jA}BMJHQwPcvf0w{7Lq@jHB|6JvRl`6}LzY;0vI)E`uG z|2>^rule8Z_@yn}@H|f*ko{gQ@f~=AsSSB+h%q<^tcbd8&9KX{%K7>FkW>GcVwO@4Hi0_yo!w zhHvKFP~CMKsq*fLXlvp|w|kw9YDr?2j52TP{u>Cn$avOg7?Y~^g5WHOi%Jp2n&@Z^ zx`u4G=aNKRicH>Qn_Sj*vnDDvGP_S!O&L$hvba7)5`0emp9(SaqN zSBp%2#50UJ(svbU?f6jdeop-023(ZuC#$FLyp`gE1^JE5GB;QJH{F1G*ScLmcj z?JW5v?bgw(qH*{f_FVm3r%BJbQN##1TUj*fyoD#@Sff#m?#Ay9(8S|oN-tG3e3rab zZ>6kr@eI2HFW&Qu5~qi2}d!PJ#< zr{O1QJ>IkQxMVm~Ho6*l?@Nki1g2(pLrVMhNcT9~qEp_57nMCn2I0F3KhWh@ zI zFL;8qY>^-6H72fgJrVryeXqvoiYf{B8gw=+W0lyGhgF+mbvZMx3E%HjXP}*@-S|k= zdW{V!9w5rC-w>rP(^(~=BAoW!dFk3oB0un!JV*t1O9?7GK`Y7*-By8x> z#9mx8(DUJPwI}+#^140WtD{8J5bXBo98{)8d`j1fZ|#IzwKqOfJ#(QXo=&schQh80 zG-W`1_db8i2P`r~RN`5R(8sihJt8&7LU3#lA7E$iBHr3rMXXY`ypi(-lUtq=mh0T6 ze?}JY)MuK;gbgOm8r2tF+)#erCKxl}05q4}lbeVoeVM!sERb}F-lu$}KF3{`?S_=B zQZ1gLU7Y%TyJd#`7#nHw9?hvqffX_B%&GYSiAT-E;#<7~iK#3yeE#4qHw{Va+NT;T zrY`7maw9D@e!5nn0O~;0yHt1bn3$_{`pe1N=?ylOb^7?r6qFR$Q7W_QE)Li5 z5p1=V@4U+ID8r5aPNntRCA{rKzB*Rjy#F;`6rWrBOZ6X`-7q)pEw<{}^lLq(&E~s1 z^Xzt=NrFq_-`jPHEt=|p+ky-dk_x@6T5O%4G9gG|c0`t9@&!!hg=_q=72_>PmDKB! z|JAm>&$6Zq2YhkOTiU895EQJe-se^hpqUD$t^OTO_p_UuTICk=g0erL?0wEooBoidA_r{TXCXB z&OAU)6Y->CPB;O)?=r=^w|d^ClhLgxHlK9(y~s+|&8ZN7kT)g^e!t?A-s?Ng4B4_@ zj|`ji!{!?3`pn_>QEge9VXXf)_2|mO+_CRBOcCv}v5u8lZEbrH6v|+(*|G_LZA~ca zV4OA@3h_gU*CVe`vGf=D#$zb$9B(8cbl?ycd+~5FQgD zzo;tXbE+@klghzqM#&c~{q8l#Ua@EN;SBdQh5p($^x4!{>EIAQH3c5#kRPNu`u z3Hc6QK^W4kQ*mbp;}4^^0Dcz=guX6yLbfRLn-Tet{f&mpHbZK-(AVy*5mcXxB_baPcvRbo`E<6DSNt-VHMwQlbb zbf~aoszfZ>kD3i-!sLl68Uke$@xa2Mt25axuwqCbB%mfVDf&d07PMoVmAtzu>-l-1 zv*cqcI^yTTo!`VKf+3fz&hM;}C8OtzPl*iBVVO;I7s=Pprgk+39nu2LTyUsyYa&+6 z9m(l#)=a$ZL-+(>30e*E)OdpT#9(JaA~^Qb#_&FX8@_B&mBfio@Y^o?6lP+peEqIQ zO`eT%$BM(r*D!{xSTm#Tg+OYRnkTPOCza3nuzX154sY7hvdDpg(*I#}zVK~t?F`Ro zCL6#ON*k*Q9es!mp!lqEteIg|mmY9k#_ys@qn-rLA74SOegrkEV;W8N&747MMcbP` z$1`jNs`8uf>K><-TvH^*|1RfAuDGZ1CXLK!o*|i(`YtGlWJVjhdq!lL|C62!qUW9S z;Ji*b%f~$J*LLAINQSgJSXhEw$)oYMFuk3APRWuf6#-XDA#foVG;XR5#P zSygZlY10hdOHjWvb!=*G6w%#WPuhV!{;oFbXp5?wsBf5)#_4@3xSL(!$=>H3$GywA zaPRG%hy0r;=^7Qf4k>DqNW4d6Td-_5PLaOVW^~SyY>V|tslbey(YuutvMsO)_`afS z)W^`pJ2d^Ry2h{x(OdY2d^-JYdqlsaqxbP}6(!Mkh@=J93kh@Wx+8jobybwc4#lmK z)}(75Je23y;d>n6Ogvc=|9yl8jLN;f2K3Ev{1Q|Zx~Hzey9_)3htZem5AN{U*`{7E zF-_$ht9FchWcI8!ckx7K!h;E_n4Pd_9S6WK=PhLyC3?vnM6X|xS9T_ZxL!fgQ;QV& zqQrb6|FOeLJy5a#bzZWL)3yZFrP+vd)%K3)+~b_`_tYv}yVFL*9+` zEURiz(YjTMnk4c`=Et_Dde_AcV@DB{3(I&m4r@xjgd^?p$a#M7KogVf=P-z>lQFXg zW;=p-MaT|!p!Fo_Vi_w!QZ!AOl&aNB+GO7eWjuW**o|;JGZkvoI(UG2K<-l|{0G%e z87pf1#^i(Nt3uw~=Y0hR-BJ;`@4k}4q}ONZ_9UP5e2~&<3(6_3(PYcA(%0*b+p#@< zaksh;&s_Znh#qA$BeGFN-JfXJ_ERAisb7>73Tvcrd*c;V zPwUBeTUI!7<#66v7qnhOK}YYySMJs zuNHfax8_`BdKg_IZ8NLJt>S&kjNHnEsB21~CZICkxmx(b?O>N#wSDG3*V(lJ8{vFe zSOv?O7OheErFP>9%?Q5~lm!$>ugEodb>-AzmWs*mr@4#N+nZj!`T&(lI?AkrT!eFl zJ?(N(eKT_TcMbpfB0oJI7s%Dz?2z1L0Kl%2QnPBAO38D3P? zroHuoP1E_CUy|3b$~D_gm`-8=<;`~x3YYohpr0|nIy2+{6cKUddhLqS>j6P8o38S` zJm+!kbZ$;LCG`AiM6e=0a5?#crou-^Emxi{9IACXpsHIx-OL)CHfN4l|$soO^x7(j_-6C(Ht~uk>v02bI-e| zZg!Rtp1qBS%;9M&-`_>KB46+9qqEnNatHLWDbxs^aB2w?)QGAURv5KKiYv9UJgNAo z#}9$HcIAzOLv4S^)6W0)l(W#?_F+h$N^R6i=x(`K~a!e^%UqTIr*bI zf<%v`BjiBT1%$=XoT;l4LW*$4dTMhc#0Sz&imVo{>uyNuX{1g>s)U${3>CIAlzSZd zymwBzeLC|v+msLTj-yvrb4xS}@>mt&lWGZeRTOI>BGsEQ4FLgd=L zWs81c7l-N-pr{6u0+hKE)|iMmxo4ko7X>Z+R%+de#*#mV!@F*Q@@KjeQrx@CheQvG z(4$yS9Y<*wX@fVq|5m)1%BBa@a`a;OPsTs_Z6T$k=iz#GhR!fHvDADEjW2mY@3048 zt2@oet#fQ3cP39e)@O|e2gLJ&i-RB97*n2t?t7(tqN=jj(SF$%IgCrZW5r#>)$x=- zO3{DD`99HY#;5SJ?_?{44~iNkp(U=CA7Tw5MI(mS+?pZ_;6U4Jy{uT1Z<4;zI`q!C zeR(7JIVD}=<6*DB**tT8pNyOO;OafbJEv~D4&-Lks={L-!EaXFd#lF3j2}p;(c0ay zf=)ja4ZQ5f|IO73sb#w{Fy7u(c4WZbqdy^hNNoc5JvuNK33egB)xLwgFY1U~e^7fXt0E75 z!TX%$>2!d5)^YP>p{x3?O3CpfS7PB4iGp;Gv`xs}&bYt1FEC@J>s^D0PVQ9isxC`) zy6~|_)An!D2^TBrOFFv(E&=IsJ`L;UzTD9*uU7hj{J|H{F{$NR2`HR;+7ckD$Y;Y3 z>Kaat!!s?xS7i4vBI)|u9chRi0)|8tap{HV6Y5J^B%`|Xuv%LVz6#;cnAg*cSfqnyzP-lX{1 zUnbk2;qz_TgQQP0GN-?`BUeQ1+g{YFH>uKchiq0$6omOgmb8|L5f3_(FG|nZcf=a4 z56mm-QJ@_P@j}<&(FttO!4swkXyyW4`$SQB?`TW%T^)9S zy{Lb6L`qFZqn63b*!ujQ>8{rc#`fR~YL)da8CTR%<5B({3FFy^<^j5P#%!zXStqX< zBt-7W2qxi|>_b=s8^c^WyEHp0yE-PP7~Nly`Oy(llJnP2WVAiqhQB3)6uSzlf1$G& zS)$CQ&dohqdyOroc!^Mw`gF&sUlg-JS{%_sO0gvMffpLiai5@}u|=k@ZUgkcmv7SQ zpE093arHLWbFl@8->HJ{)~#u8!{|&EokH&|r0!Bb8s8ca zrbt^*Lz>6LENAg4F(M(Bl9u#_oO|UJa(3gb)n}7O_|qr4`)C~1{LDBDXgFeS0V@it zM|b{(s0b=0y5Uw@W7l0wj#k2Y>2ta|vHoTH&J=W4*6|jdyZB62cY7v=?Z0ynaFQ9N z`l8>jkk4h8u4}$aw`n|JA+gafP^)wl3NNX=^D#Y{gTIBQV=@CA5_bg)tD6D&%@w($ ziD_D_o8I?~G2SNzz@!y=en9V)FZ70lzl_`29`E>$JJU|YjaeF+Pa=%jgZFgjHws5y zlg`CBYr-wI=Rz9n@e-5S|l`V%zIQHI1j z@Ba-6hChmJ`jtorp1Qs1OERxlODE&^-nDs#n;N^mZ;f#RyP7s(DN8qCD*se>>@JA0 z3g7uH(|T(Jk3utXyR=AWCvcAocj@oU8o45}{+{XxQ!V6!DN%PTY@%Y2+!A7atF3MM zXuL}=snAT)OI=r;TW7|Nvc3w>Xq0_QvFPc*$&LyIGIq${sfQxMxi|i>_KrLgTAh9c z>JCipS!3}LC&ZcyE7Q>~ve>0Np5g}gSf1Xo?p3MXlI2q(>m?fD*s!BfoxG5jRN{p- zu2=l|yBHtq9A#gy2W49l4JEciG_G{(;=R3CVCP4Ke5#!q)4uVVx~{kz}JW)y( zcR#;eyLLDyA^z#Q0uGpZ$9GVp`(G(XEvzsI`GE?d=O32Ykm3&bPQO3K(0^{AB)Lb}nRS)DfA84L+# zo>bK3)HXqvQ&5jc{f-?nNuufISo+*nT?aOj=SnvTi;{gvn24k~Wr}!Y7p#h~4ji9% zw|q9ebT8ZTwi2U(<3w9}UGH(%3noN%1z8OwQ^`fs1pwRZ3+IVMD%lgGYv9JFe#H3y z^jD+Dbeoy2nUYGW<^+OwUES61%%_{!#1yNiVKQo@veSZwfnehP77K2`dD-1UyW ziQ!Y-h+=`G)8Z>eohd8u-7LNt{;*KnnnC6Kuy~5ICEIDqR;2IdM<_ z`G%j_lW=sBA>(tnA{2P>5hd5;*T||it6Ho z$nKCGAnw9GEP8%-{~?`U=IPJ5I?9XN*wq_6;(R@ZJkBs93M8 z;k0!8qQ@YsX5!~w@pQ20PV~E+3cz>Nm)aI{_O3@_hpa2lWpcpUdp*~cctX{7os9jo z?3~h_L+L~HZuyhYw;}PcV6L!NB9A<4VhqlL5;<4*6=+T_Npp-!N3M{#o?o!`9zG*F z!ZTH4I#cx>Yw6IP@{G$an4tniKa|~6Dkk-6udIHQU(!%jefS?SU$4r8?i7V5Y+|aM zZ`}PF6_XxyO_}^gSwfywMQpIUIjj^P&~u5pezl|2$5g-_kFgn+u93w(ezUe?idU{m z@jz$SgZJpVW$(qlVn|$9P&(Fk>$85g^VDe@>Row)l}98m{2a}ajd+x^`glfoD}__< z`&Nn9DE}UxyR5o_oeOtfcfk!=3XYTPQ1tsUIJwGrW=p824QDP2RZj)&=nQ_fcH9xH z?(F;V)k>N8L3W2Y#a8LQ+5fNQiN6~Z2(WAH@TpcfG6MLBcf>QBTrNBj$Idij~xm)+pVMsE9fqT{Jr? zew$;DzhNgr=(D2UyLXni$6$_Fr7?w?i-->w6Gv}6ckz$@e1o*(_TJo)_laAA+CtbM zk)<)?b6sp39FaR?u-ovt6Acev$W!M2#hHbSEf_)0O)HnTO4l5;on~Nv!mi{y$O|la zq)+fdMl(|0Q;A7dK=9Qw)-OHAqb48L_1Ih69ocgQ zJ5+AwoeVnf$Le*|*0+{(i5Xa%$f^=Gc}Hh9Y(V0JM{wM!I`V5K9Gr5rqReIJfAX%G z*SoLVt*FNxMQ)Z{aYQ#GU)*^wXJj+s_`n8(2~z$R#KQ`nk79cIU+`3RP^ewLc)q)R z`M>xeyoY#_CvdoD@$@^@s?9wvCxOlHNsfHZ-JX$@g_=-u=^*S3>kieh;~VOenk}S$ zLynZ*t_P}}G~m*`CFF+hq^sTAGu4eyA1QuUc8m8E-Jq3oaQqJ2P+ikO#t~HsWQ#l{ z?1c_%WX-y8P-6+V|IW|&_H_;c@91NZj+tQ*XRn(mZL8|QGE>FhqvNwCfT&AwOmxyG zL?_+FcyqA>>=iX#Q{iCjY0(`0u_3Oeu9Gv8v?7WPy_?v)>(Nz(jcwpsHli_PxndS- z#T&V|RrWQ+f|Z7?GjS&~&^qY$A`3Fsc;JkR=5bbi(~Eq}rXP`C{lCX&y&r1g`FrLk z)R)XQ6!@I{t)pgl-+JFutA)gw+_$Q4y*1xi`W|9?oY8UV?mLO$*j^2tlzIP}_e~6d z;?vdJRzyXzDzM6pN1PVd$AbhJV)OnH48UnH?2!_Ty6`QFX7}b#{Hm7jP6bDmC(c>wS90PtA0O z@E20LYJH^_W!x-bAT$w@>`i>ezHa&qra5Fwqt$EyVW!L$i@axK&5NTWgiZljK$Q=bo9V_9R(lkUALcX>( z;DlLZdh7U4i?4YTa-iyr+4MyD38Wd7{MZK(qpP?OMce5+^xj!f*?*6SjGPjsGzMw< zY4Ojdx;S`~cO0zlxA6$pW*LPph#1BNb|V?R>`x?(tI26y~Nk-4`H zfI8+gbz{LEXz1~Lx{W9NB{))94C!L(KKKk)ZL7iDf8 zOW$v6viQC3v?UFzmK-rpNSyc~ObCj{J-61>%)Gig+Z4o_wN@d)_1`w9t)H_G2|5$b$2tmcL)<3G zSbm2^8J&>0H@#@Id@n2-;mZ>nP8^OX4^NimqVtkv^+H)p+Z)PQDORWM>&AyvKTxqe zMN{=%Jb664=y4kO0`Z@E(CEKm=`wvXBmLUByy&ooI5t)Hnw6+N)Bn`3?{r1{hT7gCV4MuLyswCq!i&a zXQqC+bv8aL@F=oHGwWWT7dC0J+37LHZlgEGC*xhqtxHLm2=#BG0YKv!_r9)mx99LHgS{jfrO=0 zeF3x#e{5uH6^>>G!MrDVaR%}4$4pQOk7?8Somc_%UVIh^)q+Rk1~dIro!BBCdoO}k z9C#GD9YsH?uK?d6IaTo=z2=Jkr?-p|df7J-y&^yI%r^tRwD+rq_ORVl+DIZ-zUXB=ijS}9Tk%~gT_PV7+7l{7mfF#6 z-eC?6*Yzdgg3 zxq0x(G1?GLVvg;M{J(m*Mi1%!?9uW@-BV&T-QBEbSN%M=P}%o7D-*9brg7+d$ICf2 zs!$~;-*x*h-PzHG-(I;f;lc$epBz*~=fu?KK!=A#thwi+R`9T5OGM+y$dIE!6Jwvy zlS-&gMagdU0pwfeI!mVezbT&SCa)h;nNPpr^b-;h%Nsp0asgYPsqiODe;hTFUwH>P zAR;eeXGU&8QVaeFTg{nK-ze)BSc3V4*|i7ujsl$RE^s&^eXWltYfBRpe+?^ zBGQ?Wv9vMdSCly^XkJb6(|glSi@am^EF(3!jbK?6DU&tD`|x89oOS8Vrj zv*=HQPkP1djl!o`$CLU_ZlWtZh(X@?Hp)szYqKU*il0KwYjx)<^zhxhp8N?vDszFQ z!*<`=M2B+LEA1+UYL=x5C%PAHnQ3{z4*QvRYSpF;nqX-p>brb zp!qvwrK4L=shlYoL-kQYR!M5ItGk_fL?{(jVP#s*{LbtLLs50meC>+nQ^l@9Ss8yTH7xIwt5EHL&ndt@Oz}CGMme zlfAi*qb+r_0S!#Y{ONZq`ldQ)%X^uP|FrsS{NJlTQLj&R?wBljPEx$Y2HRiz6WIt) zZKb-#aYOrTSRASw@C=;pjf&S`Wyrc!#)Y$|0-u=i!T5)uEvbGHSGhKH3*A=UcN89-h_Z2un)TyTcApWpiD=pd)R(WBRM|LZ zJ*qW}-myljl_!j6^FNPXcTd1p-x>cLJ!B`uERm(h?x-7xcXem^VX{2}Cpi7D$$!oL z*XX~dM^w6R>)-s38OLNuw*BuPLT)FQZ_i(juIhKFL0GTfnf?u%@80i>Ku6W@>`iV~ z>vxc6m-l~XV}EpAzXM;bl<&}shI_c*erxUjoqo6eJu>qDmj8Q7MDk)Z+xQC_i8_Db zydQ9;*rt1nuAspVJ6!v7)cevm*wRtGM#of0fC;$<5B_ShJte|A1y4|FgQ*j^^-QKk zNf%S&x2~x8Hu7i2Jr`~%V*>OT_)pKsq8fZkg*ADdh^m(AZnUL8b43jaoxb_^7X6RT zh{n>T2?;BI#Lv=ydDkUqaYgNj!p=P!F?ou${ycuY^mx3p{(AIs?j2EKVM$ znof1*wv3eZ2{wb80xA+@n@4W<*Z-S!NocYaED&UI^g)dZ821YyhOxd#SbdB&eShriPiR zZ=Z|>P^BKo9`u;}zCeUak6@WW-PpZ;K7y}^`Y8&)=S1!6HFa)h(h_wV#P*=EkS_8% zHN##}h*R|D;R9hgtBkJtc!oZIZ|j9Fz^c@6g!>EUa7d+#yd5Z|>EY7hbl9aUlOi$j zX~vb)9*D{s$>@4Mj>=sYax!v)?i1h6p6+ycB5Y(A_KStxLx=9AhMo4(_1l?P%9C~v5%{H0ri zm$XMeOVJ;0d_d%qx2Z>os;J)~2Tp1h-hP3iv%0(1%2&hEwLC{rGF4*WKU`B=b_0K(Vx=FHWZtO(fX zd$@z#9_Oy<&WgJh?1mx^F>CBCb|RTGEa{l2n7@Z_6pxoDa>JR5o1xeW@fau&9yruh zWM%E`_!Ty!Y)7yI^7+PZSM*GI%lCGqba{_Wf~|K8=_;UAnkjy)T{UGV4N8zQCSD`uBQCR!Pbx6BCCD&&)C zw{O>8X_fK~7EZ?>koWS#4wgSob`}qL@@qQc;So`1c7@;Yer=14cm2%xm}fgm$Y!1sBAMjj%UdSnT=}cP+EDN*W*_!QSBFgJ({$w6^?wMym@^diJfE!gv#Bd!SkC#g^(E>J=E9z92s0HbzL zCxrIZcgCB>4jg08BP%RV$UpcNDR-G1Ua<)A{fpX{N;wQg)i1^ui6c3o)@+kJzV3ZQ zr}KWVDYomlf5vd=xRDW6QK(SEkp^(OmT2S-6xq`BtU{5j~Y^~J!63AnXf*D(8Mz%By{(WiGakKBQ|)f{RyLlY?y1$it3jG zX|3+5l6dOnP~%8+H!?ygqWz+Y&>R#t{sSyrY z>io`K*UiS}ML%7Gq3u@^gDaM< zEi0aGD$lO_rg%JBY9DzUHkIdrAxYe8NY>Ld>JsZ2=zHT!_pcPq53oWa23RtoZT+A# z8?}a1=V=agU9#(-n+neZV^8{BS!xAuA|zgsKNMAC*NRouT1Q((_ti89+Ft1Ts7i+B zDG6EK|FC-bo3+cbvQ)q98gQt>In>%^+n*svzTlBb6YC~uE@`oB3zcOR9ijEGc#K03 z)kE?|CakL~w?yy1!~Vj@b#;^$E+M|x)uKxm1lNW2qD~k@D$Eny86?whsuNZuoil)M z@UYH^HENb{sjLwWLAIKvaKy|!WQkC+=BN8>O#3_%61n5C_WK3VVOy2=>fQ(Mb+yIf zX`-R7EEbj9Zlq+-g-S(h5WOOw61#r=YqiFS9np>Oy%NP#VU<+SnYf-D9za$=)z3{O zd{g70syZTICs5kY5z?O(hMlQ8rSNiOzZ=QN3T0V!lDnfy!}a}|<>oYBj$igFe1+;l z8%{N0@WeSI$<)vork}J-d2_FKL*dxwt-e9qlWn1(l#i}wOdL^tBve6f1h+V`B4q9$ zW1`~6vOVMxT6zX5mi(+DY{&~mQBzL!WJTmELlQoZN(|>GA59MCzf|c>b{F}i{{^3% zk~jQ{O(hglC(+V&F0|tuH?cy63#k&*zk9?R@Opni#(VeC7s&aj4k684WbU%G7FhMg zSLI#sg1<98UUVf*sl047ws8shb9Z9>J{iCCnTp6%UWxZ9KCp+iBRQ(6jMNR}{VW2!?P)6%v}PAb;&6UJCYF)(?RF#|Ny>ldsmC z?RI!remi-BKu;OTt(k>cc@w;4UqksE*CJ3qasamRcI4Cc5R$x1&nhtLNzp z?l*25F6y~t|CgMRGG)@;%EUMqVef`{lu+l#wIA!P>8h?eG<4{6W*PEby+^wVJ<#4l zbW5o>%XNQJY{2L5j&Ys>DRfZY^{l5>?t{v(ytRR2{sR$VJl*gbd}iXJuomOnoK@h= zoX@Xk$I9f_f$;;|3yy^Iid7V8CH_!t63=sf0lChulx06dmIrR2Xxrzvt310Cq3&S@ zHUx>>%)1L58|WA$>TKzZot6xHJ%95j?;roPEXz*TJGKNduiA+=B7tM|q^jRC*OaQt zekV(KlxV~WnN(3I`bEncJ;4sB-xn8hD=z#QddCX6fTdjY^Q@pOEQ^Kj!@_3XYw3=a_{rQ3@of8~(C6>INp!_yO-%P%crt!A z|5Q0iPDAs0wFk{F*t32OZfkatD9Df)tt2_8Yy0fMIpuL5 ziA3So6M+uQxB5jOtxi+Q9MKu~5TF0tXbQTKzo@PfA6-k%h|BND6g0A@ zikJJZouMfgUKEr>;T=iQ#-i@zNoK_x%1f^4c@^(~_B)C1S6fF}Ge^4wx%w=mqvBB?%tP4;QonP=6puWxfnE!B zNn|oF?GH-Y=2XvkCfb(za%xett0(IrB9573w0zfo^( z{-@XyUNOI06;*$PdWvR7WJB{$OP2c~`HDk-rr;R2RNtz2Aty$DT38Zt7V>+qlwFDE zmS~u3h_0Z@{@O*_l3T7fCLUU&FXrTKVuEz_d8H=cj4LiC4YHY zen0n$&+_}_-7yug-RJfDd45k^nMiW?`-SKJ{qwoo&wq{;S3bYv_%xBf@#fr1fBxC( z968}^~{qw!g4{6&ze{L?Y8i!=Zj+ffM#P83g z;qG~ZA`X!m@2^yopFa9;z2CEArbE#k@Al5{R1_OPPV_zxhu_@)d*Yba;2qCzQAj(! z_xIK>#HGj#iYLo0`vGlDMwQ>wyT1PyY-%i)@{I|ZPTiw|G4M{#m&n=LUMu&wJ6nAI z>B#~;XJUn;AL$iPauJ|dbc;d)t#9eQMb;n_>N|w0A~*EScW}$(&wleA(;t+JP{JWR&yOsDIv36v~ zGym?jD{)>~UcBMEuaF(D7WkRZ?5;fLGyIF&`w9xYLZaxmuj02zpH~ailIeHR_l>ve zhO>he$?f93;`1#d1K8a&ETUJ~WKXAmBT^|DPb7lpzClJjv&~1P^YS~%f$>Y^f+XG! zwL#L7;0`2-$D2IsnIzoYuA(zMYY&SlpEb`;kyp=ce+Z8fSu#CKa#FI#&l-Wn%x7s` zyURQ38t8T17$vH8aViVEYgINRZ|4?J737ueaz;opBHui1x=kjN>Ibh(GWppe*)Bg# zJwVIK*ya3dsOP7VC;FJrvb5)I&tqlu7Ib%Z?l8UO(B)6YfAZYS|E2uo*mfZD<9z9J ziGpCELv`<1mhLW=E*6+G>-t<|Q^_?#+3+D!z9+jU6ayI&BPR-tl$oNQ zm)o@@o3CV`&c?6j9xHETU$5WGh`u(&;mCxJo)Yr~LCmdS#ie_$a)J2pt^*>Td+NTX z6%&fpUE>LnsfBkw%6fH&P@!EYup=gfirfp^Wlx*xT{5z7w2YxfM%0 zt=qfbRs2Cwk@08N@M2N7sYElL;=4V{+A&uFjsBdoEh84F8Hd`1c`EXc9d6ltO_Ybf zn-{eP;zr?>@2?+`eJj)!jz}vI6<(O08M_O403AUgDRLIokK_YYA$fzZ4X4>|?AM-@ zSc5w>m7I?J*$1Fy7ZjV#b97Hc^v$a5N^a8e9Ufn0p>^5gZ6r{i`AQJME6ybkq?^td-;5mv z4u`jvj$G-xDf21X%Qlpx+2uYWWo1EwvOEXJML(O#cOYZI%9oxa)mK#A8t>>Z%}fZ8 z-_mqp&UJcHB=3p1@_AMW$YY>qPJSjbbiTpa#p#qAN>*liPUiKTTk;9N;DU$&-q9+N z2e4mIlxR2cAvjmx)DDR*a2Ji;njE+TKgch|+&IsRRp>kghisb1Y8=hB{iPm6-754f zJ+tKY^zVoh$!boHEmc!@eEUFqMKq6f<}5~j&RPOf)IN?dWgqD|6;(kWCN9@RPW%bG7?8`fMBzf7T{KUWt+>H}-l-}`4 zRqvcA3b|wQ0D5Ah*#cou>dEf(dEA|kn{oF|bW2@35NBJ$ZGyN#X5l@5MOh_N9Wg_o(Woaq;D>4-vuGJC&Q7)Nti%4|zCi5y;O-k3m z7u_>g`(kn&^~&{D>{yC^oID3bGaH}4=_USr3eOV%pO=TE8E7ZeLWxhRt3O$rTkwGX8oB#@SIZ$K*7qG{h*_J8D#dI0Cj?LDPmlF35VJ ztf*s{Tr-6RKXH`a4QZuT$`&Ilm4|vBS zo#NXHeq`HM>YfyN$F7}x=Vy>J%4`Mk;CyP~1O0rsab%7>K;|Ml9MM!Cssm+A!R#C~ zlVY(WkdV>0u=Y1h9=g<DvrUW&Jh}Ec@*eUvZ5>GpW+fd)V1I6hmwqC2e>(JyV#buc zmXJf@@C)xYy6ZWqn{< zgM#nyj3hsauivU(^O+%$T-(_old@7yPbSvyinq#@)B-kK$(bVg&_K;CDfe<4?H3XD zkdTtb%4VnrOlKKdl~b7Tfy| zX)$wT_OB=w6A_fiBMKq^F8b?xvgjJ$qyl0gFhs7?(Yd<`GMSKm!|z_XS~CCDCQ4B zUY!uQEp(^G@?}0ERgg!>mO|;sLrU|)mw|c0K4tD9GKTv;;V(k+l3!46pqU1e2&>lx zyIgo#HK zh>DTu<`Ei|dKqqAnS(PoCz=!uq`Q*SIKjgaH*i!l5Koz-shX!)rO!vZ>ZEDAvQ%z| zM;hm7%qRKV_r#FY^C2x7;>OIuX;!aiu!H%Cs^7v7CY7~5ZWuL-S9oUXV@|h4P!_gJ zkQvN8S~&MJPngFdt$v?YhKi%Blwl|23&^dZIph^#VG(sYoE~&2ghF#TIIM|0gU-$m z&h{DR3L1Wi`IpkYzK0V{jh2+fo5`waCO*;+U6QlJ7m)Lue`Lt*$?$I@t1?#MSb?5{ zO~75}Im!bSz46%pmOUXqqWBx%Li*}d$s4A{AT8u`ojk%4BAzlj_I~l{wSNH%6R9-}}Hmau?JtnZr zg}@c=NVP?#j8|@nSJCqL8^47bijuD8B(@^KR$rC44|!^FKi;_O%vm4N*26g}wW#Kp z&HZnxC0>qR#e8IXnbwn}o3!OisqV%?M&cqtvu`~V&@B{(#C9I~m>I^{g?d8yZ#my$ zFA{0WyWIAmsQS3r-7D%BR90d+&e^($5IeD7fz3#zeN^*E=S!B~gLdM^P;nP==CtYS zv&-6w4aqJtmaH6jc4x1*H!N$dNj(qrU&$)1O`X~DueN6PYyTk2^Af}1ZsOTIpo36& zzQhIX-N1=~s-h|iPc~$}ZT1ekMOQViHW3kYaVzx!fw)%JHT=a**^Zov`dAueNtD+0 z2mHP07u^{C=|sBz~U3T1ZL zxpUU)?vS5lH|8VaIZZ^Q;M4BPctvKlK6E{I{1mO~_0ypXlog))#ptGbUzI|f3Oy+D z{`T_%;crt-0e2qKZ55lk!2qhdK^+$q^Ro;o9;z%U>c6{8hV3r(4o}SD-h(eS|4$Ey z5>H^4ReR-n&=!q6aYUtgPGFg`+gquiaD+{@4Xz)cKlTYR1X22hy&~hD6{G5PWI+Y-O#AKdw8@pZi|VEh!it6Tar@1}G8PVR%y=THn71|L^!7Iz}rE zUjn(}j7PPSy4ZjC5Jt zLvHpWT0}TLVOe^L;;2L?=`oUVq(CiI3U#qwA=r$#?0Swksx7=%Kk||O!1J6Y;2Yv9 zi;N#9%CFji*~1$90nc7YnaG}mHFmxsseLJax~G4&sBO(pc5d!|EMH=7u~I-j=qzHU z3D%Q(QQEhbY=%lB_a8yVJFehvd!Odv^v*+N7xs{_P`o--&sMpk?yQMyF#=vc?^d}X zxl&Nv#~|~MWw~MZ*|J9NXm&P~%;_J+Gm40KNacIHsDg_Rej<0QzJJoUB|e)xz|Ua~ zQ`?K>Q99u5t%qNgapmg2%|JD{G8T-`kLYG}l==>JavvVLq;u1OZiDnPchvEE_l7EQ zqR!9D%nQ_mqisuu^n#lseyJFN{gm=uqI{|p?stNON|k52$q(Ldp&t2y+-cGnzuh&* zrnR_5wn11-RJKUo*9wN59hRPFV9^|(A(wEHc16UYOQaP1Kt*s$dvTs6?hqQ#GO4+* z`nkjdu^SvWqFaDFdJ>`Gx!FH8B;^fV8#20U?U&E*{8q_3<(r9d7Rx&j6rqnVmOr5< z1D|U@?S9#iQma@L!eimD#apu9xo~{$)DclMG%eNDsXxST?xZ8@y2^dUrV|0lOs$AP zBtlnwkP*DBMT-iGO8L7H9qnA*MXGddo}sgsOe(c4@{Ws_%T9=f6n)H7>K!b$pgD>h zul(_?g0>bywRd*X3B4T!=N50Ma&~05eNM8x_`SRGk^?B+TrD^FeaI2_qFOy5&MO4J zvvYhlG;KUfoqa?tB=WLrm?z(HGpMUqm$pD=-l_DIO}GhH&`T)J5nKBUvH2@1uGMW< zs6%6e_;*EH>M8a@`m>}JTO&y6oGd-^kjSv*+tBlzAxAaOlCD7fdM3&uS{rj zwrM4m9qMdDS14}TyESDmT3Iw}qs6|SK^{d@?F$pT>G&`sCiLEx4RPhp zK8!U-wBr_*VUvunF%Pmyiglg(2S1WXy7XIQVpL;7=YF2XS-Tsu=*!tikAv|M&1Bbc zh85}Ttd(qb$>S$-2%tg6ncMxAQc zD{%UvoX!Z!fo88(HD0Xqy!swhSn9wT^$1OttIj@)d!M&Ob!WzpXd3Ym`sG{^H2bEJ z--@cKQ~4^quV4j;4cmrvcTU|duqsccGx>vfb=fn0{CV-&=mj~1=j4u+1^vvwUShEb zfr?iow1Iz)|ElLB7=zLC@C)H8KIaLoN1$(_M+tHx`-iwH8_P%(VMp#$A9Y~xQhe7O z-TzxkPh&~Eh9%Fz6Q4Ebs%R<`eq!nfHRO87^~AdiYipEqOxjZr|AJ}P8lFlPNs1FE zFs~BjK^um=jLIocWD* zSKpBF$j>c4<8z6=C0QFe&-8G9NdPlPgyZ$Ki%j$h2} zOx|$Uyh3*JjGY{p=key~_$VCuOFn05$xcL>cR`tXd^dIy`dgIYbL;WBUEcL}YLWZes#$cAkS`F`y9GAP47^t`h?xz`I&dNq)KJFIVKe7bg`^?~}_g#vG;2e#9A z!aPqT|K^?0(_?46ClrOxU6=%jlee9MI>8q;;QD$39mF+PYz0 zI{jzV>*OKtzEWRHq`R=u4=3-qeaeFxFGo*<@S0Vlqz(^6%gIPxtDXQZuB)9qoWGjB z#|DV*2Xr-3M?BdED_y@J`3vrNL@rp?vU=2ic|e~E#7e&pZlxMn(-@oB0>#3vNP}Q?+u#&ArwcC&42E+CqGAznvdYHuunjSYHxp^)OAcB zu27Regk9dt7P^4Qh`ZXBJ@1^0?$xkG5TCM60IXyE1OU>XVP}`9?V@lsCY({n0qkj-NfAfi-eEYfWcVu6J6Vh{$tle|#4Imb6Bqpar+?rjy=cI0P zy3lvY>8#b7Tlp#0LM(aeeej&E$w#s(>Th(q95;k4bn`vs3y458-|VdvTk9j4QYK|6 zdScqQcTv7Mol@yFt{%7aLJ`h`+n||}>MK~{KjNd{#{G^PCl8C=C(gw}IpcO5a)VCA zXYj+RD1^-JC?o_0dSBT!`b}L@3v*3xo5#F|=i~1=W1F%d=B*W3aIA?{SbgNLou?%F zg9Qi{?g7YZo}fE?h6PCE`wO({mM={k-<8!q948}!r0nW>HDqrvr)Uo6*QxC{W8#9tCrdQp@HS##+wr|Nj zPc@}Zn>VM7FxI=q61n?uHZlMd&h!BLP7zh>1+U!I^;yZ$&L;_L+gK7fS{M=6DKF?| zHq{@U4&goURO#j-c|R}8of@-RcmgkveKAcI1cf3_?@gDJXC+PSI9wfT-J>^W`4NvKFoG7(Y)2)x^gL<55g?an9 z4Vv;=q;O1pIfJ5?(kHIy)r`AOM9@M9U33!f9)5Dy4#_*BZp4V-&O9@EKXCt)7cxaI zdryg8RcNNXvq&xOPg`}O%70adD zY5Q48X?1~8&AIFet=qCkaSVQ@iN{bW)WM4`4XXSNq}HkTb-Lc1H1@z} zYB}VAy3e5X|LF#k`9Rv9+9S~!M$G3LOs8ti>)*}2>Y7~6MyLqQ4BSJ9NSeVXzhOlO zophZ3pvW0^yZX=UAvdIHJ6UcWqKPoPgYy%rhRhpt_#}_Gxlq|1v^5)y9}E1P~BbXfKT2;vIxQo%9``!ySVRmTWAFrQZ(VPx-` zx@h^e@I-#DMr%Q0FTB9ZLLSUv;ioTqM$V|`%|cgo>f2fSxkkvj({x|hTH6l%ZuTWG zqL%vNkE(9>e{DZDxG`x$iCiUMd$yV>m!0e>?fpP%unaM zicd+^=gHh7p?yb3AR*{S=N=d5(fdlcb$mX*n?A*DC2n;6-C2j4j?8jP(1zqJ)qS5% zHHSnY@CmeIYr6MvmLd+&yuIgex>h5(9({o5VafL{MmxIqK`nBAPzDbDxPE}lRsT4> zP1I0V2K`sDfURCEM_G^a;#JRWjW23E2nuC?K}XTOLp{S8Rcr#+4i&s`PHu|7J(47z z?u?#wlK_Q15|${VJFdKz1X(j8iPahRot)&Inkqz%@Gf#nvbn7LTkQIjY|1wCW_2dn z)q$KrV))?7QV*cGfFd0qjet8|FLQ7ly?{y=>KtBnM@}bDH670(EvW!V3<~q?6hQ-{ zif0(#3(EF+hu4A<)rt>CWH&&Dtv*A^C?=jMHI~OJ>R|VZ|oL*+wCB@ zspNSTb+3O*DxP%D%B;AaOO3=|kBFi>Eiz(9e40s{pG3Jeq&C@@f9 zpuj+ZfdT^s1_}%m7$`7MV4%Q2fq?=81qKQX6c{KlP+*|IK!Je*0|f>O3=|kBFi>Ei zz(9e40s{pG3Jeq&C@@f9puj+ZfdT^s1_}%m7$`7MV4%Q2fq?=81qKQX6c{KlP+*|I zK!Je*0|f>O3=|kBFi>Eiz(9e40s{pG3Jeq&C@@f9puj+ZfdT^s1_}%m7$`7MV4%Q2 zfq?=81qKQX6c{KlP+*|IK!Je*0|f>O3=|kBFi>Eiz(9e40s{pG3Jeq&C@@f9puj+Z zfdT^s1_}%m7$`7MV4%Q2fq?=81qKQX6c{KlP+*|IK!Je*0|f>O3=|kBFi>Eiz(9e4 z0s{pG3Jeq&C@@f9puj+ZfdT^s1_}%m7$`7MV4%Q2fq?=81qKQX6c{KlP+*|IK!Je* z0|f>O3=|kBFi>Eiz(9e40s{pG3Jeq&C@@f9puj+ZfdT^s1_}%m7$`7MV4%Q2fq?=8 z1qKQX6c{KlP+*|IK!Je*0|f>O3=|kBFi>Eiz(9e40s{pG3Jeq&C@@f9puj+ZfdT^s z1_}%m7$`7MV4%Q2fq?=81qKQX6c{KlP+*|IK!Je*0|f>O3=|kBFi>Eiz(9e40s{pG z3Jeq&C@@f9puj+ZfdT^s1_}%m7$`7MV4%Q2fq?=81qKQX6c{KlP+*|IK!Je*0|f>O z3=|kBFi>Eiz(9e40s{pG3Jeq&C@@f9puj+ZfdT^s1_}%m7$`7MV4%Q2fq?=81qKQX h6c{KlP+*|IK!Je*0|f>O3=|kBFi>Eiz(1b?{|B~s(iH#z literal 0 HcmV?d00001 diff --git a/sw/f4/src/AL-4547D-SA.tu56 b/sw/f4/src/AL-4547D-SA.tu56 new file mode 100644 index 0000000000000000000000000000000000000000..fd0c86a39785669095b4051e36756724d1eefebe GIT binary patch literal 380292 zcmeFad9bDFUEg=MdzN=OXDY%*EDke5h(RoN6;7EdoB~%;6n0{)#E=j>F~%Wr91>#; z*g?jj2XLf2(iDS$0LIH1L6|!;z4!FA3~JEryY*4uP50c}%QS0A7Lp8Tgm!79$>;m~ zJ(lL1TcK=-A|vu&+KyEhsB z`N<=_e%F8g_b08^;rKoM2glbs5A+_(&Wx9fH{_4B9&F$19rpfedpP_{?Ni|6|=R=>J&p1*0>q)?m>3X#SDWhx1nJi#scmKhCe^N8JaO zzI|zF_?F%QZ+cJvL)~lrpXk4L{D%Iu?q3;a{P#lZ!N~_lKhgW$>{{;)y;=62&UEre z`L*r}|Nq0zAB^79n~ra0)A3Jm$4 z`I)6JFTb__isCJkpPIZ-Oj<8Yesc0s`O=^@(wtvnq@V5nss3jMFAhFE_-yx6gENfu zz2i@gKGA(>{Dqxwp8R5#^*_;laPrB~aFF$WE_+j+^}n#A-$$LSGwQ#I>mMmT-d!#} z#wb6U{}R7{Wb}^7heu1TKh^nT=KRIIXZY+H=D9L?A%BKXJ~+C{CujP9)OlrpxzN?y z*^d=xMsIAjy6x5<=7atpbpIXK9c5>D!gO*c`v6z|dj8M)KiPZ5@UQpZF?q-2_p%R< zI;{^gi}!clH~9U0xp-x-=>4<)H?(d}+TH&>AGF>vct!ur3yL0^~EcSR_jaq)A5>Cu^d-u*=W!0>gQ zKRx{Z;+tDv(!baFbmuqw&v*XHcsTx4>v?|qd9F9-qB#vXXsb>ElbEYTfI6 ztn+;5`TpI`M>}`>cUhO`JKsG1NavN4E7^;k4|ncy&pkeU2XFpkMz8<>ox*>7hP`s}Eveuc=W96Xr%aaG}VNdm6>b`?{ ze|Gel{7m;u?_0+Gac}%$=jr~ZJC77k50;Ckd!Oo_9X{1LJ3KoSWsLhDAN?QM$41Za z?=NT1@cA#=|39iHu(ST>nf}wAkFcVjVb9}p&hqVc=coH`smpz4x)v(BAgG zq4xSi`TK?s^Zy6O4^QSAb4l)o_kvewh%cZ@g24^DQo=O+K6(;EKE@e|`$ zENQGSwH}_lF+0=yZ0DucXBq35IcpwQhMyU|0y=zi4wsK!(Ry?KsnHLVZ_YnC`ZI9I ze>6Tb{?zF8y48qdQZephW^RZy{Gx)V}p;vQ-7v^g`Ypt`S9qE z`TOI=zsb)Hwc`EpJNTS;Gxi538@-oW8~LMy>G<9KSN6ZC4<#^;yV(Z^JNYByKk9yv zRe4YL3b^9kz4v5a(0Nz(5*+!TBB9POvUep)dH?X8#kWk(48N#bX1m=loBYn;4U>n* zt?b*gZ(I6{qdzyCw!Vq^|HR-+x-YTzk7qyE`PcoQ@BFL&FLeH8|DO+jzw?WOdFNjY zJ_|)6Ax6{Am-f%(KhpaB$x`cQ2R}FbkB5`iA5H#YtJi6@*889CGKa~h^3O1~Pr*Mc zlTUX)$^Ku-KGFSnYd%=-K0Nu@XnnBWTjz==a((-|lj-=QosW!`i&wOk;m0TPjoxR* zE%^G8;*YuhL!B3R@&{RyZ=IZ({88r*N9&zGV4bc*2mh|~K!1$X`>pJe;sf3Hx8B$J z0Q+_~|5sV7^HTZV$%iI)dhZzjxmK(9O|2(dU)1}CL96`CnA5?T4%EFU3zl# zJA;3(I0Fsv%0Ky^p*^D4YQ16d2Bhur2flIqW?nQI{#fybVT&~zwB9@U@!~h~R{QG* zzuSLvalP}~NQbv~hwS6ePu_)0e8X^veE-5$XQH3qh@Q$@KQ?*5zu^h`=1qkt=jH$W z{ILGtgPq5FE5jAW`^MoLebn5Z4+_?~96VCwJh_#9S^lG~ADz4=JousR*Y^KO_eTb= z@4gDP*&FXqUf=z4X!7soug-t4{V7Ivbu#Sy5E@N?o}GM_`TG*s+A`;VD*4_i8S!7p zN1eqL_`S(DwB9;tO@3+e!sM4H?PA{g^yCwhr(3J-kGJ30excp%J~#Pft6x0T{y=*G z$NpO9P3^a|pJE1`?z>wrPI}!|ccsT`jyt1$v3y&)%u?H zwDmyi2ihN+{O;uO_T#O)llM-38$EJ{-TDZ-&}x1C;A{I2vI{@j`M3Rl+j*k@`0z~c zo5%kvlKaW*mphO5|3dpr=iS4{^Cw&F_K&wmogeL7X>GQiX&ttH4};;|oyXg6D$Wev zR7~?f+pGRNGY&KFejPKvkl!7@fB5+DA?$;HI(mdv`>NKjWKVQ{y!esP6a6Q6^ZSRd z8~^J(Uh$V$#6Qaw4{^n<{LJ`F@4?QuqOtzT;EB%b2R}7_r1;_Xaxom=oxDE(U-H+D zkFuX`P5X?Y`=;XEP{oU#k3dmB%)VyhpP#%x*ITteU&1r}x4+Du{AqrBk*gmWe@*{u z(e1yI{o~P(EImB=NBL{}|9JH9i*wmZQsJv zCrHlU#k!mD`*(F-!#aFr|0_FxYxK}~g53PA>??b(>1}5p7*1H--{V=Y>96)y^Vf9R zV}14&ov-MBb?*k_`){z?p2}8v;@@Vs^t2D~^MBp>C@cL{BlsR$>u=^S@R|O+rS;RT zpW)x1Z7sFWv|riz(#~hIVP5d<*Y*F#=)cOpbMz`3;n!mAWaIy`_0ags`ww^ji`JB# z*NESpy?6W_qe=HwtoK*<{(1Iw?9`P^WBB&`)r@{Rc@6aPkMghSd|mI`^9lR$U7hb3 z`N;k{`}?2g4|ON#yNCGZJvQ2hI^PeCO()m0@3P&Suo8c3G-1~t>g{I#8CSRU#=)fX zI_~@K?spF!hPECa{I$`yF{1AtKh*#7&TBen#$V2wKfrSz*R#j3>AY?7BK-6kd&_J4 zf3^EH{a3MO`fi&24Y=b%_H1h}n@`?WO#6G~LGPeg<(uhnzg+Fkiz)WQ#^|x^(TV;} zi`z>F#eB4v?Y5rHR$J3@zc!L=H#H*@%#0A zIqlsnXWePBK3OY{%8hcjH`VXueCbB<&ZV{NuyvU2wbqK8?cHK)XcEoo+}1@{&6;a+i6up;9uhA}tr_&m%8eLHZ?9Zi3bWVm{iEVw zJY)5AomQLcu!x{!D0^+VTFjRYY@|oyb)(eP)^;)LvR7T+(%;JtT1Q+nWp%W^^I|sM zDz^C@>gE}Tx~H7;rbFJUnXa=3a8z-_uGueUOY3@mxuR7aPPu=r+-B9FF}Q7$5y$;` zGMj6aD=IE_C(vSd14`a2b_=MtHlEp7bhI|SSw31`=-w;my^V|!lpDjfa)Vh;+ss~E z%Dq~>1KzKBFs^bR3uv#nJz5v#FrsOBr^Dz*yV(|$b+4j<;d$rp;zAnb%W{q0%BXhA z4fc5#`EkHZ_nGN@bfcIRv+{5>6_<53Ntxu{A9Dd$}H#b*nUg=#A+3yv`yZ^sG!y~LP;L@byq6^?d%Sw z#r9+iYF-^~n~b*+X;tifhfAz_$7xYCa5#j9z=qb~?M=Au5UILh5>$KlTy5MpiX)ya z+PKi3vU}^;76)+ZMtQdvw77r{nM3b8$eJVM#}sQm?)R@UJ3aXtPhKsy zi@oAb*p~yN##y=BIvO5o&4*g^EvW4P3f^T0x8Yl9$l5g{uIbN;yGDgaaFwow&&TK& z52NitEFgSn|(YKrH`e1pbefqMN+RH zTdhmkMLaHMRqhR@<<8PJoW5@|Y=-l)&sxBf($ihNIsT1WNitOYY5Ev^YUX19U;fF40(-7#&2)`hl$%z+-(g5E(-x33H@LKkly zJuRC88NhYpz2Vu>rQz~uSsasJY+r~g7P*RDyPIEXoz0h9%UpM%TwRikXH}7gcO_E> zGf76c9Bv<8$}SbldQX10eVJ!pfvUF|_{yX_sc!lT6OL>u>rf?Yp>scc9eu z?23-RNAvyzb7*|Y;!mN)q9t?brbzHh7V&j{m0%RU>%#rN0)He!2A7$H~q z2p`MD2?y0^NA^4Wc4XQ~HpBs=HVKFwc(BBq;KjAcdbXieu@TRZ5tp1#yL-s|DfS+8 zm#nG1VzaON?AcIQyl-C4c#7ExNG_V>G{<&D@%w`i-)o81~-v#vIF#vo3hrjgJQY3$qF7JZ;Z0Binoz7 zlF~O@=#ZXSWazRZ*)vArb8v%dl@cdQ_n>j5vv%Ro7Iv498h$JJRO{;=3G#U^+dR@h zexVF|iAnM0x!(I6C zu-un4*1PiO+Sl@5>s-z+_kJzE+_{uLmtW4GZC^#!m^YPO!s0&aB?+$C!g;@zU*x}E zbBT!jn&)$D)IDrfqaXCrwrCX1yD?smbHavfK_!PyrDj)|r_$TuGi+}2F3`mVx^!d` zve=riPtfB5Pd@7GW@qK&Eg^}pJJDW9r#-w%W;C2@pW~abvX1Zt+#9Od7mXEPAMG^n zF--}ljh;7eXO3NH7TVIgSnYKz+Vyg+Mz=R7+a)+G^d{TItZg{4_~+HNCJ zSq)kGTX~Up2WP^fM47@h6jz3DqdzUvGrW~ z7}a4v9;doFQg5Rs;dY8uWXGB;DU;b68T&NY70SUdKcBkwUq$D@wR9S}=G^*pXN-2POsAYt~G7 zHuSSS!2*{>)5DgNecv2Mpy5NweSDTQZuHxom$_co-9hW)85NIqck{!6bo@E&tILwL z`Go=0j!ln`fbPXpU5XYF{D+^nQSo1X-E{`Y$5C+u`8<`Dbl<(4+O>?{yri?`vU_1+ zpQZbQcU(!}e5Yf!TYj!{X?O`Kw;z7L+`a_I>?0vBGFGIc#y+}RY>)S|tI!X2WVVrC z)%fwzp5|Jta+4)z`PO#Ruje|^n_Z6_&{L%c-dXOrb&1T4d%G#k#~RNhM~Ct&ut22m zjmPjn#M9+bSCSHxV<_2womG^za{-Fx{UsU+-viynY#kQ}mevsatypH2(LMQA`E34N zxX*3GbCT!i5yN@Vg3>w0k>;CjjrOENqMew}x&NBqA8kcAVPm+eci_|REM3a4_b=xc zTe7Nl@sF67VHom^YNLzRD!7{Ig8aGO#q1)s`qO%nt>g9lIlNn}9oECVn&bB@)$W1n zv4DB|bK&jgJt2FdojEO5SmBLg9iDuO-M}LPH9P8G&#!gDdnQ<5Eum$#dwO67=$)rD zE~M-F((U|ac`d)3R?)R3p9@(ry4>w)7x3r@tE}ubXy{`06n=rIWtsH`rI_sJ*E^SO z6zf7QhS$^Q&$PAApc`XZ(br4={+Zz=t%TDB_5nx>v)KjTlk}Wxbp0Kyj`jRf8SmvD z?Og}iF`4HZUPSmO73XRF01@sl_Evm9-zE3!-eR_uXI)^?M(+V|OZ z{2V#UY8BVffSX9M%`nQ1$+kFz&kxG2?j9U#qi5Yg;q>_u)W+wmhs{bhg>1mA(@2%~0^1wdLZz`-CRnx8$R_pIvT3aXXF+A*GN;d_Koc6OtNQ=FRy>W271f+O6S?^qThq1 z9T7OUJ8ysj?dH|BXi>?jpc$8ob?g+)XAP@zzjt8snPU;%MuNE)EIB;uK97cS`#>5^ z8j1J-as`iRJVicFhreN3=$6_4%h}Fwe>5*|8CvB?$*s|TJ{??fRCFjDCd`(V!}5_; z%R6Qk(bzVh!)8~km~MF{e{Nv+l=BRatGhj1Wfsfezp_u=i#sg#%+glufw4iav++^D zo91yBkhZq}ah%BiS-DKE(Lp&MZY5m?4M1V?b@1H=TE9#AvmIf^nw50%*%J9YTpxi( z^)(VG+9VDdraq${KI|xBLQr4#RzZY7mS~;&!oFgAbf?4F=sucCxJ_C)kG2F$8;ixf zTwmo4P!Q3P#wZ(9|H_70F6KFyAJ^iohil@P<9O`(@*^VsB9Fiy%nrsTQ=}Cre&0Xt-ebH)#_zY|^J3XTwc!L?JP51HKIBI#QNTLCC^$!U}?rCeoox$IFs8U#+~Q$b7rqL z-UV)m4gsqYMsY~&7$428O;JeNJH2JuD72!sweY>^5AmQJZ0;dgvmoaCoQo+2u`d z4S6%Oxg;4hnh&4Hj&xdZE^vNA&JUk639*M>mB$q1GrX+*M~=cNrcEs7J0mI`;iU^xH z=XvZCatc7?kQ2leWcln6Aq{azpB@teHMy)n{~j0o@_8wG0%bqn{Y>5Ixv zWAzdylvXXyG}sI5jXbMuq@JE31S9%)ZpVABf_v_=&gYD`HpVckh!GrA~*}!!=mhChOgjRW?QQ9o2sClsoz5fpDS=!|P_d?z-(6EvPtqAG4w5p69W> zw8^YNOFN3Kh?lFkHbzRUZ>PIetuu05xS;ZWq#b99V<4-y!HUUE!BX9mR>C6@dZCem zUh@1XcWl36PLMKUG}9p%gCgxqn~`tf!rJN)?GGHtiqAppJ;UOJl>rqyEan#Xs_0hP z9EZ@Y-hDvS%=|9ox8gPYjI8%~jZvqqhUsdjsNsh84@7+%S%7T^%`_;BQQrl}*Ip`) zW3%9SV1ZC4sCY(XE0;kePgu}!euMHFvBR3 z!Ya1hSJ-704?)7UN9)iPzcZV-BSs4rMGHEoysykHR*LtP7wl(8@snR+S{Uhl!j745 zXp+n+Vt$JEQ1RJHcL%Q*e=+D;=)>#+V)C|k`$R04hgdRTaz`d(q+`IAL08tWZp|+T z8@q>IKaf5a`kH`E4Bu9wM}H@Ec$4@za0D%R=cwe?J7cwu0VFJ zTVyVdRjV0&8K*~INnzlo`8_I225D_HNV4an#K2#$Hye6>fqW768u_ezk9*jT8X+E< z>ETsy9BgQ41f5-ynZ-3&L$Z9yvX}-OK^$gQbI<|l_uv(!-}ODSfl{%!@EM8=M$w8d z$qPnSpm~ML%uNqyRWxhU2hhTfWSEUlvJ5*mea0@A@3ed(alGri1GHfkYmGBbmI-k` zqbwwyW@UMNy*u1(mXX_e-Sy$-G2XrGR*;SSkh}t05j>u_8vb_ArqJlkD$ma6&l=_1 zY7@oT17QnRui2|>?cMUpAT!(^(2m4C$4>R}8gjA&Mnp89XP;mV8yz1xmtqltR6!LR z-LpoG8)(F>iDYpYS@7eV^3!-aA}g{HeKpMENP7v2Ee|C8ZW7dTe4NuvYi=p4#_6f& z2$pDT!3QzSa=j%l$Rl(7ith%q!!PV;4yJpeKLeH^iCU*8tl}C) zNs`t1M|M}RkkC5V2*q9AaRgR_oDW#h^R>4c{}ba8N9<<8UF1Wqk^=~`Q9KH=xsLTJ zzam-Am39SH-#~j>Myy5KnmS)`=^bfDB(PgPiW!pqhXs{ZN7pb;<-}qCWR@XBOzCX= zneX*8(K~2ty>k|hg9gBV*eA=?FCZl$>h#nPw@i6Ps8Ujghf+ zmi<*;!>aNg*(vglkQ<)g>Q=p@sypTtX~%_-tT0m0@!_n&&rwrpRrGVP(b-d>;j#sY zlR=qHZUGH9UN$Xhu^jS}KqL;x(8JEIuUc_mnsRWU6>3UH*J`qCt64xl%7on}2DD+= zVw8Nh%I*HPJ=c6i=AsM<>9#xMwT5hNRx?^^q8GEc0==|azoEMq`6yT<0adgdjjQ>^ zo?9Q%(Y6Yn+i7n%tEu+(Tcd64!UcPr)n1=y1j35Z&s+~KuqZF2)4>?YQzK{9^VRge zL$c|$+U^7RbF@3RWQVd+cd*1gx7ZQ3l_ErNv>MVQo=dW{$GDt}oQ7o+8X{ipN6=2p z-YkeZXGCA2dVxh9khi7uGNY83o&+C?FQ04U_uS;8gNHwBH~6=RXdv0K#l@sW6_*1 zuh>(gZe6Jm=}^(Y6;WN+AOt)6(BVHZ|so#fgF^XZ9-g z0B^Lnq})8>Ghx=p=p*v0<@;y^oA`3%{#l+6xut=(>R3SXNQ@HibWD4h=Vi19&TzLk zHxDSztnPgaxif^NlCZ?J(+-)=h^wJ&4dp@}Hqg4u`Bn4CPLQI+oObb!_lB2)96fBU zWK$@3r+v?I?`J($fO-#$P6-K9j&So0flsy9W>H7UWjInm(`_}Q^$ZdoxXkiUY#$v5 zx&fjl^b%Rm-jG*fw5eF2Tg25$qVduWn8dYJJZ1HfJzANtO5_wvtE(nOT3((QvE##QT!Z5n@~?=n@bh=?LLWtya_)u4^SX4Y{cB;0g&< z-WQgH>dVOOiWpv)mE?guqb9eA-&Q-7zmB~|?&_XfPjw9kF1UiVdSG>j&;oWnS+v<~ z60)UiPY=KX@Q%Q%F4Uv$9k6AztmQQIk7O^*EGd z2RWtXI<~p^NXWR*hj$7|Jk2IBsa556&Bn-dDqeV#c|hc!SSEW-rz`)^r5z(X$jz36 z+M6qt^gdpiGE*vKDyfz9G1#le2()VajO<9UB<@>K9xM%-3o!j7NGvi_V6Cwxaffz!>)}m-Mivm8!?|+6kb#@@kswYXiH;pttW0i zr&s|i6s_y)>D|Y?YGwWPn(#O?zylz{p`61NnckOq2q!htN$T7u9cz6hDeRx6Fl?ce&t67IW8%eR-I?8<+m&| z&+#mN2jx?odpcaHxI&o$m4?SRRCGy{iC>S_*-*}8W6f;j35TOcfIJbastNU>^}SaN zY0;a7Rel}jyY*${Qhcpx@w~w`^Qqjkl%9ybVwI1Vcsc1`X~W=0 zD0e_Q`E#Q$lDy!9Yszs)QlTqU3yT--vL8zJhz;>XvbBQtU17y7yTas$Sw$zvB#k8^ zGxCO(kujCNsJ!EoeZWN3X`jvJYoJzov*<-Udjd5tL^A68wPUddRr8;~DSD>tOJ&9r z1y}yK)g8*lGaJxpw2}kCUp1|5yX7{hX-?#SjBhO1hO!6T7f93z6%kW0zgyBzwzFH# z)eMP(!g2E@-QyUb=@T@JFu|&K$w_?YdV0Y_vJ7Z)#_N(zh+D#flpP&&j=4_OuWXn` zJ`oa=Oxi=$6x6w^LggD4J<<|QuIhWqj)?oaytllY#?|m&a}O*o$&!pDl7 zGt;m?$KK_c(9-wzF6pTFjyGHPkCXgH(Pi|a*V}Z9nKbAqXvl`G0v3t9$vrb;8+#y8 z*YL^mQS=ab88K?w;E;K7kEq2e{RU(mtGCD&*6ey?%@=3Ro4{<=Qy!V58%VX^6ZC_{ zjG_gL1b(@FJIThZ$DELiZB^`uJJ(Bk(~!-FRPEA{#d<5z>&7y(ksZvS zveB%I6Y@CL;6d47^YN3i9r&v_6Lloub(;ltSr+}bY6U{x8G43lM|^YbRiLqA9lf)u zeWtR1J=P{!e8?iBRX%~$ziBqAuvcFpIzkDdCC^P5g=J$Dd(=kM-(i}yxL;Z8*#)yb zMeB;RE{sE1@kuj?5=MCz#+&RVHNAnF0&S3Y7M^&*Tg~=Q7EW{ar_c>lj%+~|kje9W z%uo7%^j&rOI?~w5yapp0UTsjNvKmk2o8eim-4P1i_DrU$Q4*duQhC^Tx0XX}mX6sT zbjVN_7Iod(HtYsE3S4pQ{Wa-Y(=F(ty&P1$v6@k%i*AxlDEfx`jDBp#Tx07!&^~#^ z&8t;gW|=BRd7w?FVMUEM*Vk5Z_9T8iHnYltCO2fy@>4CJQSYzW8z-XRROiUcU6o!T z9$?X5;Rp-1N90t^Q8)xBQ0-ltRQp@R#Owi4bj`~U-;r;rJ7vM7ktzRQJSbf)A1z;Q zJ)2*QG_xQ>9?PO#S=AX=h&HQ6<|X+j(F^9;h8^&1Va825l(i!sHVmMOiq<%ooTaK- zB;_kczE^}U=D|FcS*}$WY%cTh^}^+K?r} z9D>HRsK*NS;T_L0uA%UTZhO>5`)D|?^-42}jas)(ul2a2=RTjKE;>l}mqGI5`wb_o zM&O;%Wysp*MW`EdEQe&B-q zKzV1;QqIo}sK_>}^|$@{ZaowFRxxkLnE3u6BTVkB#Ah2_2@hPAjbfP?*0IO((qko- zp>5c<2jr`}Tp^RHRJ`?|cO}~E%FDxcvd9?~l_2JQ#f&XSH&jwN@@@TxY_)2?_d%b? zDW#{OdI&kbXU~7u-k5k3ypYb2dZ%aRdIUW5tcf#RmJe7GU$N_Chk8#NI1S5t(`1c& zn&teyNo_K;$cMiJo_VKz4;hBm#&S{z2&)3ORar#rL<1}BZI8Ev>=tHbcwv}1GPIci zW!G2Q5L#W$Jrd!bm3L;69zjw{k62ecLnlMmCe6^VwfM3>3psQbD^}0ay%DdJ@3}{o z#o0hNzOUpw1UY2Cn~c(Rj--TLWB0%c!(PXob|1*N+jd6X$(B_4xH> z;ac7Brc2O)VSMUc1wI4)wCP`<%qQgyv)>?ZXgzi635Xf79x~sM4UQ`$@5cMc)HO3X zN#c92UraPqS-s?t)+>14n(dlrkM0WflNzh#t3=%;iBE(;*&ushM1kT$JfEIz*5L%| zBsNcfDRM5TW)V`Mm7!{>N zyw#YhhmCp?$-h{T-QWfr&eweBu_Nl-HRD`#ViGao1~YZwQO7;&jg;)i@_z3gaTfDA zp&MkB$0P&pj9-9Nb1A>r@pug@K&Pp+H=mClrz+3#0zgmGTkD?5u|2vQ_XwG-RXMgt z6^G#~#uYBq_{?eV!-rH*>lhG-T0#lDd_S`{~U) zpwe|eF^;^lE7KmKDYnQr!G?vx8#Ajc`||O#;gJ3<$ zSV^mg4-yp_q0VeUN)#8U5v9VNRj4E%{aR#~<;zRzmJ?{?7#A2uY!wkzwp_sWrL~Y| zwH)Ea)kVsx=K;Fgy=bIW?AquWG|(FoPFNW5q1OsSbVsO==XVY)!i zY3`n|PQ?w6XCJ64Apb=j<-t&v{7TM z35qMF`+U#DEw=CV(HlZSRfO4?@qNh;sU&30zrO+hujMJXWI>bK3eZ=;v@AAD`A0!3NoE$m-Qoq!zs)*l)?(UHpl=rR?RV80 z5)VvrKl%>HaoxXb^2Gc?I_y|?cX19jv)K~1YjxgtG*ms(k$yG~=?;2o)G!6*&XZg2 zJvr*R0{&zh4RHeTE#Au|>mhrB)gpU9JWM5^`Ets*R>TXG1-XltLf)6Mn?TgnRdubr z)g^b{tX1U{Gm3^5jrfB0&}u~-=S}(@+r_P`{JH*fp9^!KFI{|hjAyBf6M5H0!$Q(h zw_eBc7d+Y;U8GoR^y^{=1C7)$fav=>x@tBz83OQY%(5io6dTa0ZjDEk$FttOUaZ7Q z`TSD$6glHy*NjrtdiDJ}5d#eJsKG7e+Q55V5b+Cs0=6T$OXeMAi*_fTkw!xV1bPZ} z!Mzt;c(4Jo#U*Ht?_so*_S>riMk7#G)(^gWQxRqsU2W<`pgH@~* z0I39>B(vNij(9PLBlVb$kuk|q^_j;Ppr5(=iy!D3X1F&Y}L6|X+?kI8a@L$^D-Wej~oxDb=7A6^49aIv5FJ(k1I4y-mzORNTa;YA1Mzb z^6lrtAt!k^?jKqPt5CI6>1MMEJ|UQsb#?}8qC2F;A=LF(`NOgig~lMaofB277V=9g zM7-Xk*3pr@@=i0aIrcwBx4CDoE+6s@jj|Rq9;8`pG*$^E%M)pbKWX@(dW`$sey?dZ zotmNC6SEss2OKaX&Xm}s!}0-{5L7Iuy<7&b1O4*rvFT=#5t67_-3LVDc7hheqb`o< zwCb7r>&n~@yd69BiNbA0ydS+YxuqW3(F(&>jPI8nAgf*y+U1ks&Z;@J4prh`r-gIs z+h-&7E2{c{-H@Fc@=CCH7WmJkU$h@oA6&Nu*e_M|Xf?6zl*<)5ciX$L!1b@&3G8+3 zn&sKv&5FOk4K=J%9kb|TooJ)7gcQ|xyCLFAZXMku-vPXtXQ&Se?*oyzh`wcXw%*op zHLjl`ok8N*o77>G3Ve0M!q>(gbi^uVDAcoCxE|l%BBc!vB|OLXS$;o0#5Jt$Yi8ln z|5$Zu4lt;63O$`ErjwX120CqjRK+-?;ZS2koSJYOEr85cO5nN(^uL4k*_Q zdeDg^SVT~c=Uj!}u}~GhHBTa@f}}IF8ofSO9(UDA+af1X#>(DfM7Da)uX=ke0>j(r zBS$T{b|q~?WJb@wl3y~5i`anlg)k@64=!;-1}&!qpff(V zRYza*_2FB~5Nj~YzSWZLq#4||*wN|H2Fn$x`FJ|xAg+KRns%PV_TU;8%UOJMRm-U6 z#I{+VJ9_(AAnS6Szlecy2Ev~TcLXzkyS={31IFe_E&q}tds}A`&MxEH?d){>|Ry>F^ z!fX{easI2Q-DZYI1tu*t4b)_-yu>~PghCngtmq+fg`9L{(0Mh#+4<_AP~D$=Nb5h~ zm`3fK1&=|ye`uaMUVV^X$}86y2-<)1+ChcDGW+T_WcdRp-o<(wugAM$w!E$7N!`PHlL=!B85r%1}2EXyGO*Rh_-q%jGJHaTV1@@S|} zv|50q$)J2@Fa1G1NkXwsTs3UYfugUrYRCpE@~bY~a7#o;8Z;7mZ<`N^@2InJ>|KrO z-{W(XHe6O+IldYd?6zL`2f^xC$1J>(|dei@I6Vym)_IejMXp zb@G7o+`9Je&FW{N=g1#%U2wT}LWp#iEO$Gv40MFbZRFOr_pua;ZoRgGJZLMkdIPRg z=XUjxbZdxsf5UTh%Q$rE2g5toeWzxX1Z#$TO)w|Z>#0WFMn=C0EQfl>orTzdd)d^c zAWeddsYgZS+rMlzW{4-q8;VlcBPHNU(2%f-z+t&(_sBb1!zNV5^GVjs`TQBfYaF56 zw&-R&UxIZ+qV1ksUEvnBEL=QN%N4LnJk3CLrrJZdH{!^ABsLEAd`=3tZE%u3{8TD~FGV$AgAO zHZ8^;PRYI~-nyhyUX(?pD0|E$bBc^>gFfcXw~M3GJz2x(&HD+}kSAoTan{69(g`)} z*sC;x% zmGQ;wlJcMEk2uBa_Ief7O(PGmZ5(%S4_T6MC-jan3nl1)c;z%iQ4|GNR#e(6a=Frp z8ezZYjDRZlC1%+5^QYGEYy1SIO^?WNmc+XExRd1Nz8Ux;y#q}LrJhIl;P!0ff$B@= zcrjSgHFVzYflffdpoJ!f)MZO88=E+_{0>8M+;ghafKUz4WYuHoY!K<-vr%q>$J?18 z-jU5Cq|D1sWO4k*>ystDK(gZbA--pgm;?HG3wbQwRVIW}x36f#5zQNq?@Qc+&bRCc z%S-cpwHh(FicG&?lh7+Ua2fXzk+)i@s;)FX!*iNE77VYmSKyR~kP&c}fp#MkOwrQX6mGq68wM|+1?aV)ixgK+7f->JbQtRV`{j)KZ)$>#nD<^ z2y~0gdaR6kcEs`CMHa0J_B=5sP^NH>O_2Zcu!w|36eF%Uc?L0#WT(Q}elt^@dq_?y z2>6t)Y_}NuB6mu2l9v)VJM_Bbo#%QpGJa=E0KJ5$eW_KO>LVZA4CTRE9kJ z94J1@3XMA2dQ}{cPOfNKvk${s$CW-l+aL?*!VqosDEBM5qnX*NB<^tfl57u_Zv5Wq zE$x3@pZKYO-itSKEOEm|Mf1C`TfARG$UcIb2Yf9I^ zUD=%22)w7*!78@C4GUefLgrE211M@8zZ%`l$jqWmXG%%7uUcFDn1W>11Cjz4q3`$P&Md6F2cOLophb@U}L=mx_~t?uLD0SN+QYA z$mi0Ii*JhGsa}u#1GA2G8YJsl@76BLjorDEj`zCo>Yeia_BfaHJkl-H2YS!LK;>1n zl~?+^@43^~d8thuma-y1Bk z)w@A=5MRQ-SJ%Cr_AU0?N3^?i2h@TSl`6Zde6)L8dOri3F$<_Er=$G`mY@@N=zj_y zE$RyNkY_-jU^}XBaV=-a@JM9?XIIRF77n>AS~9MJR>ODdv8n#H)?o~UP*$;{k;;yf z4Av~`_960}8M_5jt?S(FJFdN=F3X_j>x@>XHCliAU?1xGW4Qn)R%Kt7?9O7woK?al zS+P98k0;~ew)ojFh^oJkMdgC@OJn3&VSI3{ytX$JK~a1OO$z@jnimjwXjol1W}sp6 z-Pc03HBp9R8qCoOo-oi!uAjqqX{NM^Lh zrL(m{(xl7Lb74i@Zfl-*cFY12a%(3AU}<5CHY}|ZEOx9pdzY~53dNP?pQ;0cy78np z2fGf*B`f!>(o)$r8Bc+i$|qB{Qhn5Q+c45e!0qhKK5Hy4Mc8d`%>s6s!w%RiH^GlN z*IKv(8gd=$jFSUFG9?Xtq~0|s=zpl6C|r+Twn$=^59;(RX{_^?$!k?l5`QjIPqvAy zr%02cbMMcrY&x9-sBesKqPM{nM3On@ruw^rEy#&~=BJSXVIGck$gKN_$bl73U%r_= zF;e72XQJp7HvhNAi?z4|r%iX%D@^$#@wuV{a# z#Sf0vJGuP2%?iZowYUn}I~zgDIPh#%(+9-s zImWqYNKd36NbT{}BR>U6hNVm{03NaZe1xxeFo;;!V&9p@oPykoo>V}~@vX!wH7Q8U z&iren+sWN9bZw|~Z}^Axd}Ft2p<>=DPs_8mV>HD$0gY+-}hO${|p0c_zz(ui+A!SyRN5I>}1&Thyn+v(ztZ_V( z3agb0*&3Z#bfd0II3ErCN14?`9#yFek4thonkDq(I%msW8>q*G?$fgp?#IaIoSYT) zlD>1aq=^-{RBQM%|({0NBZokN=o*y6IfZxM=+1L zPFqy*bg(0>f0ZO!t!98e*H(J~5^g8KSzTO0mfescT(AFIaC>VWZi%LeVe^u5kJ(0)eG*k!?-ypVYp+0S?LlhJv0Onq!Gg_%cN zlUydOA9akij#Fl@F6s_(lCo-TrvfEj;QT|*xA&^2EcQJSUR^tNbr`l zw?<2~HNKENW4hRIM!yG-m41db9LOoM+81_ZBrTnAr(mBL{(v0^LPCEoW$M&+iap-O z3l}yiE6n<-#~t#VXGvSbkHd4iWPxE7hk8PjW;?@o`MwfCizg~;k1DV9UhS-t+S`%W z#OVBFWmZ8Euw`^UXF{5OxuaMSRJ~rFZ=DyyO%>L<{uY;dmy5H#OF2CcvMa^;?0oXh zOfs7VCFw;4Jr+BeNbN(OW4gTLWWSo+m^tby>31BFYtpFEyVLqMl2GVz=%CxkbU{0| zHG5Su(G}UU=%Q9~+ghhXAEmgGBwxJ8`BBKcm_^bQEmtHj;2*zhw>E8fWXAqI;g0K4;V#MXt1O zD3e+-9h2>IWW`Z|?A7nC5s}e4iQ6S&w823r$_xD~obqg4Tur|R8)HG&H#sOGU`fX4 zJvHRhXk&~&>F5V?ppJJ%j?gYz_ctP?rom-vdd8M%X=y{fDI!xYONpy;`szKMwu^1n z)L15I+%vV4v!YC`yibqU8V(aj^*OxQn&zw`Dq&`4g??aPNV>9@?B`x(-%Iz`JYub2 zphe@pC>5P19RVO)3XVD$?R$qwv>{#}{#oT2$GaRiNO9w;k3?k+RikAUjULo@G{k)` zadxIqY}Jui4^icrN;Z(MN8MK1y-AR5vPvReuot{nos)p2OI;5b>b^pv`#I=#-J8kd49DTlJPHhr3hm}^X0@=HbxN(rE zW<%uIYPn}(&HZQ5gYwISaVpyf1fMK9Yye#!JuNzV*Ad)Q6)x*ewddrJ7^bSO_I`4f z<>)F(rr1G)OVm&Hc*VWElxW4q?ud9QXh!myc_yd!aLTG%GVX(JlVjqsURy=#UhN!R z^(U#aUg&WcuwHO1%}6>%RDQpnx8T~`a@UjruIrp8%-=||!%l7R{%RJtP{#HQi;Y~e zVhfpGoyg-OoN>OGI%H^nkPVuFbTD=R^<+F%-|IZOdd}b}(dr?cJIEHLjx5e1Wbc9h zvsYe4lQ2F!vJ^jP=x%6mA+}`^Q|*XVSW?}m@fBxf12EHh)TFjrRtq1-?{d%ZLhA07 zRU1xGXvobHCA2SN0^?fZFdYB5koAA<&Mk`idds`(*HG zd_v3ju?JLJ7Ay?a5vPuKilpA5E(B@9DzLxVtt91QL|q;8>v={_(X+mNX56#T8^rTV z{CS3QDa(DPGfUIRRrx~Qi!x~2oW7kZzKr@67YcMEbVgcA8QG!_#%aE2{aKE8dUrYd z8LrYlh$MszH{8Or?wU&wnlN-iLT7^D)$TK7Ion{sh z7U^f#PA`wH2!%O;r;pov!7I~qgRg4wgNWiwr!D6zoIKA7U|gff89Q#7yf>`M#%hA( z*;)i^>s0T<^Gp2XrVvr{Syr~Up&)vT0W>)aXYXT1JCnjCq9o{vdGN9Sm1TNi3o74$^Gr_Yfu--LC{ukw zRmGkx4r##h7U#)nZ4J@Hil{nUMvZe?9-o~}>8AoV=&SxNw->8ZdEhwf{AOAFw(>$Z z_YFmdOIUT^XFL_MKIc8EE0Vvj-BFHXvx+9!3*la~isL+Fd0Bi>2#NODdv`S{%sQ?o zE4i{T_&mF5=hM8*UIpr2cm~mr5bH5t5?iv$`S$b9#v61}F(jKDksEMe!$Pi8I4ffE zh79o3^9s!122m3~4Oa8=RjlQ#XL0wUz2NnR`pq+Fo2%jaeSIQI^3K>vA~q`+kTRmE zN=lj?Y4&%hxT5A*D5auv6|NxrQ&b&iq8+ezCaEg95c`wA{JT%1M~jO~*5$kEw`(U> zUajSrN*5%mYS>gSGaJx{-8PoUaW6)B238{wDb^%Y$|b4uyU_GK<#zvz6lq2$VWA!Z?(mO3!=P&zR z8k5M{S#nqvqn?rdg$=_yb)FL1BRfBGA6Bbam;JF@uU0ly=+Fh&xw%Dt@cEQAM;!>h zpylWtK6j`yAk+!t_Aa(JQERl3#WatWcD@}b-%eIj;blGEBEV7hj0n_8oE2<)A z+^<={H^_iJsGn_G+^==~p1H1(Z@>!0$}x-Dh`#7DbNRIMRPd}p%R?TBpDhvm0w@qH z#dI(fZ`N@1wG|sw^+S?AF&580Am5oC-817e8F}4GF$w2UZ>TAWAm1`(e#&Ivh8tE8t80}I=*lNA=By)rnV`+v@&2C2OB^}PXHlFAi z$tOP%Kam+OW@8`oH&R3-(i;3Lx`-Y9j%#G7H=C242WDx$qn}+JFaSHT#B)_0W76{z z$}Uen$PX&6lhtlg-z>Jhv9zJ%XRL=;P|0iMF3>E{XzSQ#S&f#BC~FkmyBH%*qxSp| z>1kwtRn-`yAmXHui6tMDJ(aAdrT~m6^+GGC#tQXsC*`5m#$|CWY*P0xus8L5^MuS6 zR6jC3-*JnmlT?S|)gIoj%(7@sI_1O=CXYfYZ*ZgB53>jNCu&V@d89~Wc%Zaop*WtG zI-=3Van<62Tg0KPr!{`0vavimt0=j220fego|B)e8YRysMmlSz$y2KBt$e+JL!fz6 zCorR9-D4R&#iOE2<(L|B*6@yP4JC=Fpgh)x{In=^{hU)(@e6eoH|b|2UM>3OBX9U- zMk{V7s6VZ8RgUL~xlJn6e#4`mrxZrY7FWs2Aa+VT8> zs(K-g-g5t`6ZR@=^%UQ+9P~rv#=(+hHpIxP&Ddg(Q2RDr-VammkW1N00y%fo=_26= zvFa|$AK3>%cKiLJVzU=DmXJ9eiGj+eZk5t#3!RH zXwG!G7dS?8$+GWu$a|U*vx+Mg=cFA{RxMbL?PDBm$ZRh!&^hS^~{!Xe7nNP=`y-hYrzVZVqW8lIFgAr;SZX;|l^_>6cS zdWvZ&lBXKj+D^r2kY(M6XQ|?{)@KmSw28JeyDH}E$qR)KYfrAl?iOo_%p>*LsCuSW zQ)DZR2CO5QveRP^`KlAI`eK8eB0JFGSiOf;JULrQsQd8@ieDzNrhaWJ8`%um?iFvS6TL#EIEsn3(fCeyRg>!^l9cQ`A@PA^st36f1C zso7{+JEKzYAY^%a%j!}qU+aL_jdxQxW-BykN)*bQYNK@7p_=bUEq>suXcCS}nycAG z+hbW&!mvSF(>XYnU%c2ah5Z(yQnM$?CB{-t9d}IHg{<59IX%+$qP0W(0{MTt?4{dk z(bkSSVbT6Rv}|foEN7rwG*u%|^>&@QPxIB^nt7$$pSZ(LosR5e$Pmd38g&IpOV4ua z2wL+8Mg91c>N*}y8$r9KY`O)+vbF+sTm~QTh{)9E*IAo>VMK=Lq7nO0hGZWbK3#8WMM?4%WEsl+K)0M(CUxlO$ik3myJ)!HKb=WXI2Fbi2X&(ik?)5)>Fdqml)4e7aUG~K*p@eW5pu9 z73_IwKkL|GF~_|e?lD`FGt1z{E0tY}zZk2!*k`Xn0F6_vw(%mjgz3rjVE%d93ivg(SokA!djzE$i-7_FbIfMP&D^|6>vRIcNJl}>O%k> zC|afX0^Uxu!p&ALTHsZOj1@)P@{OA1wQmtRjY&475btDv@O)7sIZkmG93u)mh<kMggYHe% zTKpsKF@NqDFER?WB^*U{4jtevR_GO&sROJ}0(YJ!vU=P?_m$UZn?8VM=-pWt z(VEk)Tc}e>J-0;zIyEg+wa5!jI@S48`zT3deJ8|+=AF*Ew`zNtsL(y_iYn#3vSOkQ z?6GRa=&<1TXeQo!%zoE1RR<(gY~-}h59tf5q_p4GD{FR?i2 z)1ArQO-B~1)bsoJTxT2}+72Gt8ApbY zA`27~uE!A$LKLvRY7yCE1&~syZnQk#qwbG7GVi!WY_-#{;|tC*pcAKJHqIqnKfa<4Kk9v6@ATw($VuuNMo4BH zae=UxXGb2PZ&qdndh*VEM%UX*vN0|rH?*qIM2f7^j|j^U>tl4+`btuVUT%@W7^Dt1 zw$@GdfaEq=wE0m$CtM<0wHt3*%Y{^%R1BGl%2Pb1$xz+M{b*UAI`j zL$Zh!xg}p!To5+8W7YW0xSS#w3upf!tzR2C~BoyLCIu+A=OO#(i5fH zTcJEcvmA4lEudaE*qxH76+PKJtO`e2G~g&g`JA6DGsY_7m8GKEAZoV3e8uBNxwUBN z302Xtx7Z1miCIZ>*w;)PM|Nu`>Sp&%D@+kPg~|#)<4hK|dX|c!9m^&LuNbsx?9flZ zBDTyjX!I!k^gj8&oHmNiOIDre)hfQNHyu4g56Q`dx+F)9@(k-qlmeZExcGZfh!JY_N8R5F%DlhpA*y!Fl(J9mP!A3`w{ZZn^I)&61UAe~p z7i|TjsU-cRmA&7Uag50=>RecD$pPDM*ZtY;6nnRLzI1u&aOWM{YMzhx8V()L%rhdh zb#HhFexuGS=5wRlg(d6UF|9_**6?eP3wY3EMDKY_B3sPy(C*l5z$T=1^$car%oH*9 zj9|D*S(SSh0~eP_Z`EVZUK>jeT+EfW?5E^oZ2|y{4wNOLg!A*#NayQ z*1h8^34+F{kVwV@KkFz|`jAtK9in=d8_0rHMNR`^WO4jx5nFE$^VQLAtDSqN)T&$9BAdzeM`FUIqo|z3{h5b ztuiA?LA_7jx7};9-|Z1U1Htmqz%j^AB*!tLuFxU=2`3kNM(BBv7S50(L(orfI4}85 z?EQS`c3@nA-LFxIe|DEU39nHARlguli248&1DYVBy;1^b=-#0&?f8BS`_(wYcfSkaIP6FGtLQC$^#)O&UpR=WAK zChuxA8|T$(bjW|X{GIrg(huQh zZ&-vRdM@{qJqisiVv@)W)fcWUob;pFz0Lb$W6w-epCen1@?4)21fUgvuOwkT>nK!H z+Gv!E7tWc+YD#+^Dc_LF!&uSAo=xHV!>RN1zZT2GcjK=3=#Uc%W@vqzS zP0r&d5VJz^%7a?NXZ1RlO-`I4%eO8W>wbbNKB%O{XSH~-@<Wi?h&wn|$y_QdJId`I*}M7fnArR*p6BkV!a z-?f=a$|}x#;!MRAkv6?fgs$y(PomKhO%8W$$(Ka;%<(Ujuk6v1sQZ#6>|AwZ6g&tm z0SY0DH$|!e!>VG$fqr{W*21p6$dwHcxpC&o>)^2o2}! zD3>UC!RiW0JOb?cAo&KedeAdnDrJhhL58H7XnUSck})j6;@sl8b6DHWUEakrs-6(y zLB%35zS@9=v6KB`Oc+AnN_~+?R##uZRo66}B&*V=rc&OE6|H zsFZ+CqSb-@rHr^Wa!BMwq3eaJtJ)g*L6mG^PvSm=Y8|*5OSd?8tTN}c6@E(3vlTWE z*COrB_fHvLeDA_4BIEGph;xxufjx;Xz@iiiYP{)4r+LKB+Ni6$Jv!o;FL{0B1WWEy zvt8>m?(1Gj8~+{KQXO~XjbO7Qp@_hQYz#xbeUJRw=h7azk8c(ku>-PaOQmEEgsn5 zxVny^@@BL~^yUsW#vQBRP|mTk@>Q+p8JBCe+I0Swj8LZb!VYlaBXQ4VxL~2iWU|j` zv%7Z<5saT*Gl5+32E@1(N0cR*fr zzH;3TsnA+^GuQw+#UY#)?8wNa{3=;B+QSo`X%XC-=Vp-><-gyfuf9b9lufY&B0D5+ zif&95BCC8tAsyb+JA9jDx_d57-|WutMv~EW*%5jGSC(;hpKOR{%`c0UxKC;Zvb@tw zi2s&{ZRfDv*ip^>0p)tx=}d9f%hXcetnwU@#CTG@P`g%X$n430{vYecSbLY^+S~0l zXsFeCGJDecO4#Ts-5&J4D5!}aE@(XEY%IwF5#sH$3HEz_AEe!K6l**Tg6udziV;Ss zDD8HWekAx4wpV0jP;G@DQ;iAxBz09t8qPiUXv=D~YQC%IL7VOgy_1xi5+$zHDqEk@ zvf+NR0FBT&p3Ic*m#cSk!u#$?>k&)I_XIt_b-BJ5DB4Z619J%LalDiQF3nOt|t&F$& zeaHc2b|+b`-x-Jeif|fG1DhgdWEp?-tM+lDnW+TG16@MPoHZWjqe`F!S=It|K0tTt zDK|z)AH4}%n96x%tx=*^Kv4U+SOI~g6mA4hBd3Stfk3fnPyF&L%7h4UnW^Q2xV_%= zt{Uh@wd#yA#u4fqLdAXXTjU>v^RDt`?~{gX;oVCi7e1~~pkLz*J896RXp|@7@QtC) zwwqyrQs+@yC-XLnJBDoQXY94ezsdSg4B5J;F=9@EQ|@42XQa#8Ao>-%o@601KCDiL zLYmR5L_TSVU@daR@m)|KQ{;?kxa@8v8|sy|!4f-7KP7M5cFipAQqdXJ7hsW^&o{M- zBrFd+h`s2egQQk_U6V>DVs>V$Nn2U>eRA$>m7FewfVstTLOpuIb0lvTd{C^)fQYs? zrEw*TvKvH8RQ;>W?A6Xr$dnI$1=oaZKWSdsCDgmR9eIf{V6mk+optXxXrF!0KGU~& zv3KRshSe}T{{;L9qFs8gaG{Y*GO?LDF&vxJP_b$=Dru%QEo}85Zu{%1V)qUdwPXN^is# zZC~qBEXMKh33|%TuBx;=_e-_m%HWTfQkao(TKzfk{$NL&+~2(Fh;k^Zj*pToY1<8#JJGrlhgn@isK`xu zX?Cr8P+-mCb0MGk1l}=!zuKc({)grG%G;q@2&*RgR#C^td-X=Ae#3{-b?$+!;Q@tO zB41(GTR62;6s$=20wP9Uo1FqIt*f_#LZ? z=96`!Rpnl+gr<~L+=h6?NLH(!9qSq;W$Dt=I3+k(Gpf++T*)sRMJJRk$#+gC6IN{T zrlx#JTy3?dcR1&68C@V*Y7#6^X4<1l?w&4T6uSYv!d44ZU*9K}-M%9CK}gr#H%uIG zE+TWX)u|;=j?vuWIP59d;u?GAS~=Fbxb#v4W;1k&WcSP?M<1FD^G-Y~oL8WF*iE-v zl}BpbH$!U>sOHlCn|42L2wl$@tsI15Bw61vy~hu#=N zfsV9DP6P{E&onci`@L23| zYFIt8L+49jm4s)64k!y1nYI$mEQt^?Gx{_c;WcpDEoJ{%^gf^}4Lf0>&Kw*&+2JljakI8v{HA-{7F>r627q_^=mw4q)`~Z8`>1=OaeYn z&!B;NfT-$b@g(k8y;f;J_+7FjRnD1@pc;YROqEweF34MeUy*!hH?NZBo_g1|?0oK- z@_WRFEXTANVKb{;)j3hp+WA!R`E>cE_YM5Ni8kcDXjH8HaBZNII?}>fHrBlo{`I)P ze4^e`wZ0vOTAbFuG|~ed5LA!E{*zq+MyI-us>(IdQF)YagNSM5>@Ri**YSLd^Ed0) z7UYChzn)Fy$cdr5=D(^tm|@NIL^KI&wS|%w9oPY(0_GczwuVz( zl`60eU#<0ibS)&0No$X6Z+>S|YX!{+nr*S;Sl1`Htj|=5A&=Rz zF-CdZmTw$Ub|N_`0*co2NF4E@c&}EOs9a+n{wG0V)wm-WuUc*TkT!4$Bduyv%3scU85Ez2@`ReldvF(@+DLg=vU$g<^r z#BRNMqFJ=*iHZM2`LU|n@Ooi8@S$b0gq%*FIrXG&X$cj1jC`%tjwl;{BIb@uM#wV4 zCOK%+eKqy5^4PEJR!Q1=g?`k|SY_Y~m;Jn~V^Ls_O9k3xm60um^y~d(WGbVwLPLW8 zF0Dmoy{(-|qhmGWffkVCku!W%f}~;htWrYqx6uLkcDbJL0QD+izg0OADsviHb~*vf zSH-F^RvX>CRezSODQQr*eERE^+&3(Gg<40A)v9s$_k=oCY(kAB$Z7WRtf-!@$aCve zRonlnzTZyIyW9%sbEqY%Nj`Zz!48X&wpxz~yS_rqI2k&0XGm4O!6vA$R^-soW3VB0 zQ;tKeVsszQ)*g%7bk53UEbdgj&8l1WU8vxfRjh7ltApeZ;#J6=GaoIV>)ekLUhU1S z3+hyrDr7`z&m(2lPV{!G$Ne>E%WHoO>xJUx-PJk{4drqMgR<-Lr zD_!bLV_w)Obiy#qc#Ej0JW+8=@H(g+zN*^cPLOO^KGRI!ANTLn{a14OglHMavLQ0) z4ds(W%iZ4L_2Y+;kn`7& zXaS9UEQ_N!8`n}jgyXuKZ%H4*FjKc3s^{rmbx%(BvJaPSAL_VJIGri>N@V7ZU7-Kf zthoI-cOg~NCcNq7tW0s6)h0vJU_^duhe^RyEhDTj@)DkpYE9$X)idQ-Q*sKGx24mZ z7V?9cg?z7g->&3>^B#5M;*tB(ToyTwc!#m zo^vEC$xj`%`-1GUDge>nmgnq;$Fz6 z%1$(|N>!t9hh0m>j-O;Zr7An>h)eWe52t#$K0Tq%bd%01>a>;cI$K-sH&aK^Wv$dl zoa#f-B;GB-c(`7>d_w#|9HZ)KjZi^SmOECW+JvN!{ia@F`HKbrL<@V{5s+1c&2 z8rsXM3?_?4e$@wRs)%3U4kJoNZnb$*8)*ke((SJZNXb%+3Cu^AoJkbmeq*4Am2uLYYb&ftCxjf{E#%hvoytYExo!-|6Sp{myna2)4^^K~wWxKgMY zi!r8HBhbi07mk_VH2E#u>d<4v_D(zp>Jk*=Xwx@nO=oxal^=+840YGfEF@$8P}j&}oowgpO<5GPe ztJg%lbRTs0c6^ZytHy9$omNn1P9TqTKfXQr?G}g1A_CILl$N|8)0({u_P|1pV~B^@ zu7-?!SzuZ@cFsIAkxM&pko)HV!WJy zjKw}z^?o4qCD9I)*Dcq}BdflO*FC#Swg$M$6klb=e}};y!FRC?n-qUGX{w5P#W7Ze z&?6mn7TX3{8wXZNh-NBw^SNV%HhVI?(1zp&Cfr>Xgl9mhSMyXhyCjQKxuhZ2N=m6( zMHQBMLj8X6xMOwBfru8DI+RLJ^1;s z*tCujp`zn;#Zb)C(r3f?QU zLuYS11t^Se3X*K8lP6RkSH!3uJ3tXHo3(0@!ib|im@+JD{RM=AC|eROM_y6t=m+0h zj53b8^qwa=rxJveEGtJ&Vt*58g_vKgSvK^}EM#Z^A5iwqv{)fWW*2+KGWF=OusXh# z&9RCrYTY#+N|=m!AkUh9-L|KByw&4>3)dQDGYZ-Bm7L{yfxe`WU{V9*?Fkm1kKfgW@8*h+KEC z7Ya5nmba&~K%GM>D?^npINbXIZq7cBE@! z)m->2AbT-ZEt;yuxsXeA{)1+bi?WE=3q1Em4U0N5c^#gf*tFfNrzt`j&m*lg7AxQ~ z+c2I652IBbQ6V2S)5(5sb@?E#hD_LVL(3sg==@1Dl-8YD&xug&=iKOVg<9>PU-Q=f zTO+Npzqaz08y&Jf>Yphp1P5(0C!GolM)Y#=e7YtHRj6gYndL#op>zTrnLyLzNeh*Z zwWxufl<^`fRx=6s9@g?Ul7^F8)Um_(HN^|+zM>(msV5cOhEoIOn=JpIyuFEXm06Ol zsR<2dfafMG)A|)u*=;uzk$@0m-r`c%ga%NdDJ6j*u)fdI-&*dz|NS3{j9cAiU1e#3 zq;vjZZ{I#FU+&J{X_DvX)S%ljvosMf^AUccdGmV>3)$Y3+{s>;LsDGKSbKE2=Zh55 zK!--h*+iYEoY@0%1l!d6gg*xkUwJ}x@sRFUj~98-cUDAfDKTigA1j(&D&2INIm568 z*-xxKBTb3*`&YdzoOvZXFIh`3DYylJsB^M&s+1WT$Brp=FY6SZM_o9yhmmz?lXV>@ zGEtXlSXiJHPw==iT@x#+nRVp@aK?Ykp3dKRe~=yQx9UnH`SSOQrAH+fj7>BX6;f~l zEw%CX>{DWRUuQ4L1w~CtWIv;GLiL>RRJQx9EY%t6e-*nEOkP=QVO3z>bdk6=ga5u2 z2(9)iXOPP4sWpnY(VXyQv0PxEj5{DVso!B{$uW4aXG`E89OD_Qf5CO>-aB?%@wrwR zOXa>rFykBl*M8{`MSBiPu``4Rxt|pXyz^AVpbaC$=WF>1_MPi={NlpIf3$2NdLU*; zD2ot1p=E2sLbmf8+E9AIG540b==JP}(&f~2az{{!>EDGFhewlBe$`h#89D8`${i9} zSzGq_Bblwy+}w8EIR8}%zO<9tkM0_t7_$vJBX+nn;%}C1XYY9?S;$NG(Bs;H%1O?R z5iw&XxC5M9%>&!%`5UD_V=aG%`Hdsw7F|Wh1!Y6&ZF6@Y`g(CBnvAM+NLw8wm*0VG zsd5|3<)7_E6OWQx6!%S9Se0A&DXK%YrS#7&(zBP9_DnlC^tb^hVs7UJkrCf)cTKjM z^z!{Rn&3vS7g+Y~jKDy%zZl{{j3?L*gZR)=g~a?C92@i+7U{GI3IX&3v4p)b{a z?GaOVS2W-5R{KINI9FzUU0>o;)7BkHKDV_TayHVY@zjz)B(*rnj+_g58KSJ{>Kzua z^|==#hvzu={hJ5X;s<1f=*}_h#1S<+>dd_`YW1m~-cX%C#*&mt6?aCn8HAJu&ZC}U z(y>COx97L`f#8MR2{HELJ;s9(mmIu^|2m>BO{h24=UzlaI;y5}9V32(*t?Gx{~M9g z#tYCbGb4X?U!I)21k;-j@g;=+Xjdw~pyvvnX^V{cS$={rjQjd+$*095n*Q(v_Q(Z4 zUU&*?l3Yje0%MkCi`keDs7ObhG$jl2cx1E370}LJWF4zyA?nt)|}iPftYFo4;shU(*EoJ){Q?Jn=8V z(CeNFKalKCc8Xmm4k0f6wD4XpMlwc)MNDi4>At&`u#?wSbCy!||LJXB@;1t{8fERy zd0$U#uPEvX)yve~9JOM^g3i7fnMK3(vg!Pi_zq|n-NR^%krK~KZExGAlI1CcWvgC{ zASC0N_owuFJaPqQb#9I5RTH*_aJV*oKNlX8;ulkH_wAc(pv!0vXW}S@cPxyS- zCmi@irBGwrlQ9>Kk-uru=agJ%o}&7Qa{s!=+ju6oXzZJZX8pId~652Ctb z>?Cg{Z6x&A8Oxf?%=u;($%EstUWFCjYxPP?>PcJV+u=v5oE}wUYcG8!(XePwMb@(U zmNy}B(lxcR#E~O8Ouuu%KB14WYh!<~J3S52*)YE;IR;q6$$u=pn7&=!p6+agFHNN2 zwKE!l#zLD&ug-V3j<5#V$8bY^AS%ml4GA~r?c@b0)EnaX>^)CDeS7+1{W&b^=hE@W z%w=R@oL>TQ#RK~2{mjVLY+L-yp?&}y3e78Nw%0pe3EQfCOWB#|EKkg`>R#s5DX31? zby42MbM>g*xas^>kWwO zHsymAQcicD%7?J$jss^u((39>I;?O0H?pIkY|OxDU3C@oprB8z`v2VjbRjvqV{t)*^Z5Mn2JkyJW_Ur7$1jt+2=$3X`6M%s#1S8#1sqP1;I62EKeF95ob-TwuZ$cHF`@~ zJZqoUt+mDZeCJtq@$2)-^1}y)oA_nUT7w;70~Gy4Z+q{1<$8(-_GD`>u?b~Q6?tpL z@x0`*yRHZicTNx8=vQY?m^byrw!^Kj&K*BtTEuf_fTVAVHN`a^|aA(Q-ZoIT@`ha|pOXFk7B%y^1HZ^x?y|@9V0;UioMa5l>Lx zSN#B9qcYvY_hs|x!n^SOmq@+*jQFe>v#f^R3{NUQyXQBED%x*kbK|q_Qz701d7*!b zeWi*ky${t{T3zi-MPc9BZL;UN--<{bgHQIF9`?^+pY1aazWCkjL!U>!n_0X2`GfhV zmEwrR=f#6mKAF{SzJBN^-iH3?huu6qWT%}zu|L&s@YoeS%8WSnkhLb`f7!F^i7B*0 zald_XQ&DY4e>vZ^zA^NJ3ER)lBR}1Lr;o!BA6`r^JG{@iVgDR=8h$2=IoNgfEUY8< zO&>6R?&Aop#^UoKAE{U3`6Mq9XSSc|kFfB31LtwzC3q!-75fSO3Hi>7?=Ixouqu)m zMaJqsteRx!jPM%{=O;uphxiIt;*`MIaonw(vCi81B^FeDN5juA?RHqXXgpfwaL?$J za-t{%yk}cXixFRpRkeK*k|xc|=M+iN-%wn&OCn~_d+%J$Pa0M-m>BYaBm-tp8NW_M zFg+#jII-aw{lTRTqcRodD{JoRHDft+GsUYJBlk zcApD(q%Yjz?CUI8ZKrrISFDIX-|@SyiDdfDu@`jOMh@nli#^~zSj7?J5_zJIG&pFQ zt&HD^W``kkr3gJNsk|s;E5rk=nNG1dF`jH6A*uo-^JhK z#Ek#mP8DzJ7@?h@_YCDWyh-Yeko5j-hQGyo z#NXnrhrji!pcS(Ww5#@O80$TJvtR$%tKo0$XYxe{(!CY?u@}S{D-n-(XFsLT+)wuJHtk%~WwQPae*qNjBx)X#zo6+wWY3M4L@xD+O0;oq zmwjd*;S!8K2f{^6AYEQORsO4@8Q@ewH*1GDP(*Yq+N)R$48obP|O3TrU zxagmVl0KUA#lJh&1W)1^%}>-kFVHzv{KqPS$SCU7&t!Glih>Cl@zOK23LoJ{WwVg( zke}004kTmAAu4ih7Mru(G+}DZCR_Fo#vYYWGGpzQ9=wnNxr{pxz8zO?+Ay!&NgOXKqk0~Ef#Ou zc_5<|wRhZB(7I)`VkJNQJ}#_Udt3VyM#dJNBUcBG2_Dw@`l%V$V)Y`&*ocrP{!Q#5 zc`99|zGT~Yn)H2~A8!4W{U(0!^r+S6kbfPRE_b}t+G`LG*fP3XpBH{MJgM;^Iwi_( zz|s{`ckCKhp>{FTiu?f?I8MvJ-i|sWXXHn9_=UK`0%*Km%3(aa!roEy9^#SL7?NErCklZ>Ex-9x1=s}ouk zxu^14Ya|{R_OoNr3+=#0bEn{AxLWldy?+T8M=0k<%LkH17IvtZ7t4y=4cf9+<4Llu z2WgC4;a!b;E#`fBR%qQo@D7aVbZDOKmb&y^53&`fAo&84zp4a=4+($yD$qY^Tks;G zWZ&u4)ztxXxM7@oVstWNs+2M(@6XnCz73*!lPu{)+|F2IWoV=g!6Tng=fYe)PrV+DB*Y6BX3xoU*}4Ex4rn-z%rk>x7H& zT4GirE223S#v$3;lCzTP9ju?bbBb$fnahYdBeM>dsM^D_G01Ur2(WL<*-_>7AGUbT zxAv01STBS}oKFclNz?)IEv&fL6UsZ<|B~0k-~TXQtYy>lDTq!TZ)%13cU1F=btP{c z1b(n+5jXUwao~WI*EM*?UA-ILl%7o|+%_PzXX8ODH}W*|o64A+d!4ibLPzS#dNX7A z^3C{0?@^Wx_SHS3)^(<sobA!vPlJa0#6JIl5g!W=eB6-h+$4t@(*AXqaeXkdBa z=iP$9+*9|O_Y)f2aaq0FHS0#PVc>z%#rSlb0x=oS#WvzBsZQV?EO7n{J>yTUODn&J zwle1nmQC~X|HtJ05*)=XdDD99>}6wr(RjZ49Jbg|EUHBeuyl{J#@9?||`0eyB4VJQ(|wLxfxvRrEp+9W6W=E)kqlb$aHU zE1plb6Ud5L+sf?*C#^-z^8e&Pm8VN)eAsK|l)3L!%7>;xu^!yTXPRY z$cG?n-|ds$IOOVpH&(rZrvzk$Zdyno?!5gitF*&hl;5Q5r`xRJ&idu1^Va6RQAJc7 zBXDuC>*X^m7a&CQE=D8?mX>RCCA81gy=}VS$63SdfUL!y%HEfaHovg;Ki|0W2|guj z7v<#$eXCqTo%haP{4;N-`2bqgj00G6_#85%gf#ueSPLJljs|sp~h0j4I&Ni$F~Nrm5Di$c}jLL;eOH z1D!kY#>&DC^2P2D-Ro~))8$E32Bnub?Z(B!Q}h;CsQ7EkA9!}nKBOblh-VqNt)yCB zY2}8R)vF#LibV2U^&a!E{z#s9DAJn9#P+~RsV*MYP{-tl>HK3~O?@hOizS}&QQddJ z4h8QS$>l7soM!KVi`gx+GOmNU!wE!pG&X>rjhc03Q?(0yFO1q1*@B8Z#KFJMA}#hA zI5fJTRgyRIoPDq?kO}?by(?YszLkrX&la;)S!R#x$O%+#32st&M9wW-&p*3^pzdcp zQMn3yzrz{xQ77jc=$wwVt*MhjdRTdP4cZ&k6EHQ<(*!&K06F8E*m0(2C!C}A+g)5az$lKQ4^>bY}e9hD|cdnb0K<>Y;(UH1N zU`bV53i~#wJSs=!NQP5%k{InX-eSIaPNotD`)Sn8$isd$u}j^Dm)ut2ap0 zkh?Ng?5Eh9C+V-%`d%|Z6|cm6WXY5)p>V*OW0tW*Rb3F9%GPsYkZLR=l@vqvo=$38 zstMEgIT4wu%RWaDoptj`?#f=|l0p0O8OOQhkWtke7Dsc8p&CJT7J888xB|%P=!)Ji zvek>9Tb$@pS@*@y-9=cbTkW#7k>^jIV5`zUoL($HpS+!cSQ9DN&LOAH9sPBp)ja*_ zYDTr4IMfjtd0$xPlqkqAdtb4B4PF&9hxaV^tmVh#AUIBEWeR(oWIuZXW+m!qt&YAV!^i$yQW))-x5U(*^@oPyFV^`_F0$qP_Mm#Up} z5Lk5plUz98w02vQqp{5GB;@WA8BK2&*U#%7n&!rt#zaIcfsr$)E8ipOd1bCU$O8z) z+s#*wJA^fy@YX|xc1&Z)&ftDi>PT0Vi(ZfiW08qZx!-|&6D%m)!=Y2e;v2S;o6i+L z^W1F(;y0Yd{Ea0(cv~}A^Br5Q^&_Z01b&K!da;jff9P#$-ezUoODY21 zafexghk;^(q^NuPF?olRht#AfmslMdj5pSPN?JeQ-C`#?`)#`;mRxmul{YnS?uJiNdg=}V*hIS^Ypa>T3aE7Pb#2C@LfR5V>w#PDo z*R=S1@A*By_es6y_ug^(kn~q7oqs2P0DD|sr1mkfL*m*iyxndej6BgB$tNe+b9btA z?R$J8ll=)_{>uGg+F2%Ic|YA=f1a$!&Q4f=7d9!}@G;TT8$M^>kAFWQ>W;qm;eWJV zyCbXZp2@|HM&oxf!0g)R1HSLGkxlJ>U%ZkIJI-D*(-X-Y zC&+KZ8*NXXJ$%Ogdlj^zu(Y2~o~zm%PLnFUtno@#nGD$RQ?dgu-ZGxs_Qog22r%sN z$cXONRgEh>HJs$g&MqzOmbM}$m;WU%L7&9KQk+P9X1OCLuPiwhta7r z7ov5HAPxmby6g4N&gs}(yiQ*MtCf}0U@A5DJ~4gEh9l00$Bj|PI`R8+P5VY`;UN!9 zMrlYGImCZir|ufH^sMo!g5@^UvnvGp zUHs5(Ln{HJ?;NC;dm{_xCN>mDQ;IGg;uW)wL(f2aA>C8Ml#p)MlseBJLVnAa>eGkISykvjCPHyUCq-@|N2?h1%h+4nQ zj^Tnhf~r%ptga7%xLm6TmUG7V9x!~q(F^Nk_AS45KaJ2U=P6@Mcr(r%+2O~Wf|#2l z^d@^$qVejt*88moK+FiX6=8MI3-V}7a(QEAKROgm*>z4Pvu|LuyU&$!{l?`$+W2mt zSF+9)k|AqGT%!4P@}|V3OHIr*?yFI?W}aWT+gfDWw0hWzO^`ch$345SPAd18J}R98 zS0U=E;yuKo+5CeusB^^AWVX~CQ2FlGOyRd-zpJYzcUM<@G1}=z5GY%o9%1+A4~)|< z+&TUEMNMd(Abel@pVE78g>2;y>$60^dJg7SaY~%`B5M_shng+OjEdz&t13*R^bA!Z zo)UmHWS?031vyyE)krqH5@<&3E!En3TIK!e2MMMK2S9yBkqhljtemu};&r;e7k03) zD$>m#(5|XK5z0+=6yN?@rzNyyUvFUj@LAq7x`J!Ea>5l@Onf_Edmo<1?#t7xuB{hB&hfM#P2M}6UkzOOA~-p-Nsf3}}Iqo}CH z7L2;Sx791&>SPyv<&HhZfx|Y3<|~hku35$fir1j?57L4BRX5g-FF<|NsH+0qpkGxt zXsSc3dGG0o+uyf(R&%=X>0%!jdwup@HF=Wh{wls-o(v;q%Vt!khXi8k6&-n`nftNM z&^aIWDmnx!S13Q8tf(wuu5z#~df6kgs=oMbC#ZW9XVjcT;x)@9c}sVa2Jh4EHaX`W z^6)re;8@{LHU`DryIH?l;^ZgX;RQ;IWf#x?>V9^s9kLVWnEg~9Kt5ZYFy2Hmpa!?pOD8 zda~#%pe{XU^f1bp-kPrQheC=c`#F&@wJ4uaf7-e?dV4M|LrG|_vx&qtX<8L?;v=L6 zy};kU#r}KJh>#im&$BOv${PGye3q^&NBF9qc^39g7}1Zer^oX(_yAj4LwU7$nJZv= z*yqss?mHNkZE{b~kF~{l(y_PUwRl!ePWM9+t)K7g&CfjRJas?Z&Y@7x_%|N$JXN0CCv+9ZggS*eGJ}RSt~+(Ml1%0bh5qitMV=Ucl-uf z8yMEmk5l^ksUpdJ6}r;)NHQQ-S7d z=Y8;!?E!_o`Jq(@4`UL{ba&<1^!W;U8BS^SC~kJtJ)QQgkDD%U+6ap`dGYZ1C35{-}s0y^peh?Xz@Ew?=Ge83_9DWN?*k>7Dp#MSSDH8tf?{d*O}$ z`Sn2G>U!Sc=H-^5fpLmP zJ{tR5RWpaGHJ?&v-1%!dL7EDezUW=A##WCL8yKTK-|flHpe2Xz60g7{2xK=ntjME1 zdZI*-Qevw?|F(51j%o9;)o(zI1Nnl@5;dQ|r)Y?%u#ECR@x%0eP1=%{q6^lxx-+6z z+~17o+0(uq*=kQmt9PGN_v~*p9A30%a!Rgx{(7@hR4SH#(fo?$!)OkV>I>|B;t46T zP2^)zl2#lM-66CZ^(HVU&cWSbto&D3L;ild_RpUFH|?GGcR<+6KIl25Pa@0T`ca0h zneD@tkzXo*=Iqf|PR|MUiC(D8&=lLA`HhYT>4AvMG7H@O?63v;mM~mJvZbr>$2|ib zc1`oEI;pbGFRAkHIYHR&(qL`Ha=NNGVnVta69XNFrNQn;&mf{|cl=b(J4fI1bL(t9 zrL`Em)mM|Z%&H~-U;Qn@^6|Diu>Wsh)&FK2VeGpw51#28orSpuzZqtiD6qErpeEN`+KAznsAv_m5N@8J~L^pV90j z?aj#V2Y19Col(1Rl^CIV3bfjntnPVHtKR=S_I^i`q!P7N)=uy6;JxRvZ3|^Z4_w3W ze2z8I+3qk~=MuZKGe}tSx#hh`B9GaprYV2I%#WxyR>iA1Ftg&R;P=G*QM{y%HPzie z<&s8Za z)vV-jAF>;&7gVjf?;%{-SP>OMx69wLkn~7~t9C=pd*X%*R&%7iiEVsRk;^VRRt}|n zHL_%0PpV0~C%iX4sII%@SWEx6H`rmqUi70F`*4ze@;XMst=T4@z}L>0=mwH0#AcWb zlw5Q%BH^4_)4_cMLly&As~>tp+@s8<*pvJ8NdBze@=ttQ5R!gI!La5z8vswJ&x*hm zOf=d$SNvY1&SM;ayUR)F#T9|=ls=_TU1%~8+gdT% zDq=oa<|YSaY43Rt$(bU+;Uo}aBJ(-%*%4=Sux8SpeM0d-G>70L=R}^0zB#;UJL`A+ z$MAGM;4g4q6BD@Mjl`5a1wV|vQ{IUvrDoH#JTwaCbgcS)L>I&)<9BHZn7^td5{O46$^V!{=7*SKAKiUOv{Ki;e_ft zteR`csW14XXhq!dvS>_X?4Ld=-H;b(mzF#s$BA$eucO?IhmvX@q3+ zXpj@(j9A?EyqzX)vH)JZL=DNXUfY_Kz_;j0r?*grMb5b^sj1pcV?(2eD8Hlb{?rjL zmja73Siv~6bnqFTusmdN0y;v0+0m&(=a-*}=>t0|sV^IY-2xwoi47$?(%{$$-yi*= zc^G;_>7e8>8nY@HQjI)%H)dGiW#>#Q{JWj$D^{a>!qQ6jNNiifhzr73XitP!YKenF=wqK)T|dTt!#KL8{Q3?#BTiIKz%k{?L)rM6m8F;J9Hsy z`d*%UWNS{S@ZaD3pnX*?0sSua zU&k@^+dp4%zpOe@;N8RXM8VYdXT`>^SpJNSJbLv>~S^Upy_(;w=5a=_UfoZ%j_5K^?CKe88Pjv5U$vn zsAD!RX*Ne-nzi?5tIvabcF)XPsz$J&@%{Wa*NsXmd$M&GGE$yDVP+-WmS$g~KT+5)MiBVAePl5Tcd z|D=KK9dF_HbH>cuLCbtuKla(mJyVQcd{F6kb$*$CwO11R-~MiFP>grSe98&vv$L-w zhQ+h#(`5G?RzAVF6enZlkdEOxc|A%(zY6B0sEa%Ci7`mM8?0?IMDFxv4B-W_p?(v2 zSneoZ1|09`30YF3$%wIbx{GIumj4A#a}wuVD_DFkdfU4)e?<(5kNECrS=W`O&Oq~p zKCwm<>%}YQvmP^xoR2=i13p~8#=F*k)fboNggZcYe!AJ|8e*)8#lyaIKSBtuL~}q{~K3AuL|Q0{YunR zn(N6HI5Qe7zV|l2r~hiDG=+gTrUyJ#yJ*J)G97`KU($QnANNfPV`~LjOZKXlTP6& z={d0l@?g+vN9^0LaQy65PJSg`fInn*G#bo%gmf#C&bJ;h?D)O*VE9{nmifazL3Ku} zBcJYE*>=u5UAi<8SQRqZ4Omf{d2th+oqR%+9ml#U<{Vf)yovY#$J0}vtg!0624h!0V(yqcn{%BQc`ob- zJ?X(6VB(q!$hA}lyK#l>ho=xSffkkr4$sh@vXGB!>=;=-o(rBkb^q7o62k+pXFXWH z?&Luo7~+g+Ut%_ptrmzVyfG@FQewyRZjjuXl$meByeTHF@`fKJFVj6bY{7C(E9bpZ zy-L#+nn^Dn8B;spkf|Hj|Aww<*DEQOULgu89x?i*t`{{ha1_?dVw2Ie)T>Um_^euC z`GlXaK+Krotu7*O!#mIe{aNj!@_9*}3PC*dF(uhp(Z#HjCJN z1bHI(4dMIuXbKflNP5Qho#aQJdivDY3d~`cEGM~r6SGjZj@{Q(aZXr2#e|9tex#>1 zXkx9@!Cba0x#j)&zQ?{RzJ^mayp6Ei=ph`D%sqZr-?cOS{OGDJ6fO0Jo+#dE>^B~; zT1T_b?u*JH=&_UDvf-i1EoTEJfvXi&)BX zfNEjG#}a0o-7{w_)wW0n|F70v5p=VF(r&X$`Ui=ddO=P5O_SWg*Njy|(}ovzPc^Wn ziynu?q+9d;%3-aVWo@XR=vPcTBN@2jn@nTi3F<0!cKT0CcMcNGv;j2T-@ATR{ho(j zM)vqj&#vgNH%KUm9NuHe^-lqTVz|816b9I(BPV*_YL;6L&ylNA=DbMl^ zsX;zR!P@#cKV`$!J?AbAQ$I zIiHVhk-nfVbbABMWH!~W(v~5itAXkG{5OUb^-1n4WXVTHSW(9#sXw}(Rbi=~#>3gy z>K87c9g{CRoVM&5Z;#I00vHrRB++W|B+hPIOPPtJZw`AUW^_!+@VO>8z!|nSL8_A# z%^r5K=3?fBGnsF!jMz0(AFi127WW15%WA-Cvcy4~QWxfDov^8|~eape{WKjcNbA?_q0ha6pwp>s35`4$GM$Mi!im^{- z^3<4ZL?c(raNLK8q?pq4UyQ8Z7|Dnl6p(tKn&?XfN0pew{ls!LY84*Ozma9etAfe- z*ZD8_kNfLi3K7As(DB=tgs+ox7PLancl*SJTz?1oS-hsIY}7UT0v7uh^`Te3ZFs7@ znmh;nopoIU)62VSGJ{tpGvd`rkC~G4FUfvwZ~mLK621mckF;y{n4ZA@6}E%kUwD(| zMbiU)>#}^e%>Q5;?#-6}N(HvAOYa<_x~5|!i!1I3Su5xhr^=CGsswSt>~TnA^y#?M zd#FDZd*l~igBgDgBo$BBGp(IH{gs>#TGdZdae(>^a_-jtj*ke|zYJUB0nC*Zp{c@f z<%u&hV?BAw$jvtW2R`U6nR%!;QAIVYJbYJOVmQMm56QH_1^W)_NuNQqEj~Z*5tzeR zcZq>7JKzcrzw2)1v}F^}g!ipkr$wZzKhM8dO9jRkbC0fP-{Az4_m;;3lT~|Q??#?9 z{h+!WBGPz4R}c6*?!tHD=|7&-;d>AJaTl>0G?uSTjv?z9m&Fh0b3k`;O*zLBZA*MG zs_GnZP>}&};&>X?srr0XwnMW>*fYfodPCd!=?*w=bjh#19&opXD)`xtMArHlmKW<- z#?6r07Y`yc9@qexQTCh;Jsn42yNjxVt+&7Ov8xvduUGrq?R7rC-d^_^Y}beEuhODy zV#5ojZJDnfIzU!rAwRq@oh9>1x^kV6S{*%2TaA0XYkJ|MN1~S*+EW^BjgB7X0A7hN z*nCq^o0O^1HQOA-yS!#u(gBMep1E6v#JTBl6+LwwdCL}P@+QdgY!@cMk*0i+#g3Za z;XGkw@HB&^((!u9t|%h??e0mk)jMKONBKIg5&MU{sV*qrxIM#U7q-hMnAxtNhF!tu z(Sm`7miHt5P>Ad~y-CbNkya&7!RHkVqkC#s@Twl1k$H<93%}MUDu3Ts-{;jhtI?Hd zypC;dP|AqbR-S8IIsC3TC3RNad(uqVKqwZ@bon5zl%VEl@-d^I$SL}~$El=;=0|7Q zqLxJGy1ZX|N;QYIqBL`0`{#;?X;_Ik72t-f*wT{Yd3&hbdFTbEJyeZe)`X}>2+Rcb zOg8m>^a4=q22R7-E!*7Nm7kntJ=ry^CRh|y)+D+y-%X3EX=44pOF!IsF6RSpCr2CN zAzwJ#CjV4tyyLSZhN1hx#KCheD>=HJvSzanWzMt7$$8$y=2cB1*?O1anhvWy) z!J+AX`4X_5+{L}`@)x!v@S}5CtjfG0AGVOJ?)LnUj^1Zm|0ds}^aRh$?1R;t){h4J z#2ZKD5AuPPl@CL2<2AiY%UKsDq3^4ECo86®^i@1qK7mSE6bk}nVvb_xqErn>hM z*4F`qUgk7mJ6wwb-&f2w?bSU`CQO1xGuE6f-Nz@(F$nT7*!7s9I@_Ln zQCxFJYs#(-tEj)HJs7g<^5V(XSO${*?awa`g{KF=wbVvqn8h}b8W>Hd=cqS4EY-EYn_`ov@9zKa?441*fB6}GmuJuleBzCeD4s%3P6t!# zQr$&fFqLbPDzTWcl#;enf7bQM#FS@ze)u~x#JX#_?hMH_&%jT+2csHx9RJ3@kKc1& zbjhZk_Qmwws(cMS8L{KmH$9WTM>NuXPmH)+h2>Y%*Hb;Wqonw}{grt8z2&{>yJckn zco*WGqv#e8J4*)r0v-`v6RZcsxlCzKlp)U=R~2rbd-F&g@q*(?OcqkxtPj(79yI?j z&~A7pH)dg1b^}D_0bZESH2wgc6{G%G7{WT4LHQ%{lBr_?>3}b2Y}hgdcby3Vm-=^2 zlk&fjcGsUhCJX!}xn^98e1LE#$!Ok#6LlSZDzw6xn~wd=f1kckCXFYEYKSL+ z(|Z-vwf8A{LB6?geN{e-t7i|@l1f}o6wI8F2U<0-IkY30SNLN(kHUn}mP7BTyhm5h zJaJraEHSb#aT;6$4f2xN4<e?N6+Kt8%R%` zPTw*~lG7Hpie5B|&5L0#9tK`8mgy^z=(hLn9+YGLopn7GV?|kPydrn-RF`ZbrE}&6 zDke}Kka^_~S-rrV=mNFEXI|87=9aSc>zBUYG$VIS52#$bh>h^BWIqoDrLGU7ZWb;#%Bp#ISJy+ieUctoJy-|&xomW{{CBiT{bY?yHa z%5^;7cV9|+51=Kv8nR$3Bk$MJX5Zd+z;g%c&DqPh(>F0$MO>iG?e-M1HCMt2sQK~S zYTU%j5}4V5f$5@J7vVC1>gioN8?FR+#ulh5*W@#~Zq z((lZ|O}i4($mi&5qy3d%M=wLab;X#@5y(0|`s~jH(^HiibO126rN8Bzc1Luci9obh zJNK9j4?5pJS1h}O#`cNlUYRlBj1HV#t@&fv3a8*Y(xrVwVsxu`+ml6&RUXv!^xBb# z-dY~8enualtFM{leeRkuJz;n$FeAerw3W}lIhIpJsAbKW(`)L9E?8BrIeS6ZA8Wwq zxZxdo5p~aG(!T52L#O!M$4ck>=zAIe=oG{gdOoaCN1hV=#%qi9X=|x7>^IO=Sf%zx zADXvHE{e>X_VaqGE-RIN?e?jma9xfPMy+evM@WnG_D()qRQQpd@s3#E1bZs5gbmdv zQxi?MN7%Xeh$lj9h`6k=jg(5J{MPP|NS97}E6@)Ndm&pOgmN$V0^OOHE=}FrVlMRv z@bBt;Q`gV$9>u(K=0FPh!aDLqi+a6ucU`OOf=*G>=Xt;OGc4Z!ntq>s#}5d+O8Kt9 z17p9{4GgXVmRM1AqQLAV7?EPH>1kU5OQ!6pve@2F^8>95>gMZVXzeb^hJlXSNb z!@VrhlPzq4drQd%f5cmk+EUHDJ|Jt$tdV5MTW|a6s27o?gr}A}W`8B?ZG^7xctB23fR)3xU z5>l>6S7&c34;G|+`fgpGEZ^n~zd^#|)I6Ir^HSg8jML}#p6~2!EPm%={%rLtt0nmw zzZq4OxeHJDyRGB|ZB*WiJ*&u)r$yt9s6FNP;;Jy!Ml&?gCpw`ER(UE*s)>@sEt`L7 z^=)ulj9-dB06&=slD#9|N_=JZ%jO~dR?4)d#Gg5dSHOh;tD6e|b~*WJ}I{bma; z3fBWwuZ+m%ujvJY^G9cYe2Q;tk7vL7+>+tgY!S3Ri#^i*E_{}Vnb|ksSort&BiCER z9qk_REXp@7KFcYLsuOY77Cy`G`E2A1+LL#vq_5a;_U`S3G*{n2Pzq^E%_UV|P-{({ zpvmJ6YrolN{J|T4=7VbvsDe<$75pM9e4Y|@<6nkfXf4%Pa?VMr^OAZQ+(_G5x8O5~ zUqZYH#v`ABQ|uhGeA~nYI5Bu{hf90r8UORa2|FV1Z5Q<3UFV(uh|f@EL)TI&9%Wno z2ESA5?U+DuJwBLbl9uG{smGvt&`_i3yL#VkEr*<6d9w4zbW9Z6NvqdeRM~jpG&}L+ z{<{!!Yb@#wRGoRm+ftwAzuE2x>8NX|KSk$})%E^qwx&)-ao04>m#%l{8ud|XGn0$} zC<;`iucBj62`q`D;rTE5)Y5WsNALlA&C37sDBBV z81NxD3|)1I=Juv+V7te-HL&w-yhBX*?upL^^^>Yeotqn_WS3)PW;{z zX7l>-d-w%>{v-N+xGy~!@;dY!Sor-5a>x3HXU*o7h{O5D-UhmJ`VC#FdAE{oUF98o zaP;H)JLcfVgkAR6k>>8us|-m*W>$!tIM3N#89CxURL;L!5gVG=9WMv3m0Zw~G!!R8 zfA{aXzy576NdM)HsWari@BA6<@gB34^i2L-{ywjn*Vm;U_Y7Pt)ll=uw1<9Tk$UQ3IFjgpYV;X%N||z-mSl#zH&6h6Gr}S`ep-uAyy?( z5kK|b1KsB(b&l_*d$uoL3G9yE>do}^5~#+?8=ir;Sbx1qe?eC0CA|*bF;fHk#2ug0 zhdSQsjaVE+%3w^`p0^vXSg|+LSL@CnPM@#8W)=AV)z>`VtLdxNTtRYAey9`3kJ( z-8xsWeqcqI7z9g#nZvpkZ;rIS<@$JH+)vyvbztBmB4c~gzfF($-#Py~)MxlS%uQB> z>GAyhas`ie{Usf>!0_9vNsTn3*bexE=f)`6 z&+G)il3S zojO(hqOPnkXIU|MIqJG$RGzv}zK5l|_4k;%2}-7}Q104`&+qz_=HW&Jr7kKbo*pzj z+X>yas04E+{v$c*#yR5}2hkNUS5`g*8kqMSXHnsl!lrdTpEC#^WF#T7N-&qRDl%9o zo{T3;gmqM<^!3AEWq;L!N}cuSA+I-mES{(TTYFsVeXY(*)C4ebCG0Wzy3yWNP8XRf z954KhtZO6>hkWEQEJ?VHpAuGfL?5H{M=DnZLpHTZJ zq?|pW_q-5}lZRR_TSrzU)?WUxOA;`o2{5`XmvHD1vEB+P6jI0EHgXOsfga2r~8nuebBkJj-p_o0jvCk7&KFxSk|axWR#f{gi$ZKI~-yU>pIYbR7$w3S5; z#AkC2n)MPWneuM`TC&UUj&mokCGguFypI9TuP%FH=ELowHb`^B9B(!b3aAClfGg{0 zB%k;^G+0#TQpJgE>H0$5^B5`2_lWd7x`by`Rz)@^Dz>Gm zktJqf-}kI^XcWgP@1$zkZM>j*%Je9tecZ{8xq?w+9(n>PJ;R4M*BPIEXPVvif$m`aa66jL- zh)t`23n?1P3YWgif!B3%6}`qZlM3l1-()s5HkXR*kmwd!PhL{utQ@*p;e_lHWxthm)43)$w%t;cla4Z4{zbOlt1WmoH<|ogSA6^d^#+uqpnw~o?dl-@+tnl>8`$63w!|I^H)~#)3SO@ z;Pbcd{Cvg8&@eSTEL~|y<$0ykm74@Za(*$U)Vt3%mPLAmsl5;16Ft8HK@%t7vk4(4!h$hy1?(o7^#02g&5LkZVx~44rv=v5gxf zvibRb+S1eR<{eLhUe@Vp9lo=(dY}Djk;|w*k@Hu`%@vbld+V0h9-ggyOW6BIWYo5G zs_+L-@m0F-De{Wk<|Jn|IAc=3jY!DxfMYF7P@v$k{&0NuK_=}jlVy3kLA53b`?2Q` zRDQ@a$hoVezjf3tetB>=;4OZSZXb;mcQ8LpZVLDmh#8*!>>W8GN%D!aCSX*c-k|D8 z1h@qV4sQmN3ZKN<6{L;dfkE(hkhi6m`FAia`xv^ekUFj#m~&+D(E~Mo9lwuRa3{`+ zOTvA}`i7t6(C3%mZwycJO4*2|cjyf&BqgPdGs5TS#1l^pvauY<%Tqot1nar$+jTzW z=@efm@7sSb_(%Ds^*v1ytncaH-{Nh-kz#HZZ%Yj`*b%ECep28?PeEsd^np7%BXn&% zOI)%G?C}Ym6NHp#{a%vasr5B}#M7$2H#LixF5ZV1)TsM&g)>bRoyOrI}6K|PTr0~=1UGaJxx0&OU`8f6#Ob#iXzeBq*FEnGbCO5KZ+<|?s6#{{~D~TsU zv!a6*wW$1C8IbmLuWA>BtS)QS%v}muq}LI72fu}^u6KbPQurD?-!fS-y+iSl^bYm! z+`YFeb&7-&Dxdaz$}CuB&BAbj-`UtptPaSWJr2$pSYN!|3+Dk#PU;+Lzle2MJ$l#C z9SfNOQ~tu-K_rMbJjne~Cl%1oe1noV*0lZ(pP^Rq75CRED`u4B5I&3SPw^ML+JmI? z?sc6FA@MwqJZ&a}o4phN&PV_mLl4wh1-T542XD=Lc-^rqaEcC=wa@i$<3C=Rm&TY` znf69tvUTR#$uR2%56iM529CO|=Lvy7S9`59oEl5{NpZb&BKP>cR-kxfT7mpG_@UVr zJjce?b9<2+Y9RcV&D zWIc3cv)IZy=4w3e_K&QIpWbXXOQQTSUGF*9M>1RVUB2Pt&Hrou%hq3rSpWb0KXRzV z+^1xtx7Xjyka&=TfAzmz*6+^$h{Z1F->FCbNX~G3^I-BD`|{s6UV)J4zpwMZIEPLy_}+AQ>>1e}1mHkiHvi8<5d!)drGB zJa}*^3=ZGWxqC;q^d2A%H$THD8MPzaQP&B)_LlC6TH$>nWcteyksIR`I_jA6K=uGE zLMwi@>Qz8ilvj?r0>3#i=+TNMKN8yo!s1wVcUUP_4bM$j3>?{Q#|8G%kPYq|T?Npj zp!)ck&y+b;M_u<0rhB@ihzN&xkxvF2URAkw_JasV`}1Y?feviU7&8h@Jg@!{DF>=} z(Ms078hg~!!Q)asLcfp6Dxew2m$<^j`HFbR8yJxRBZ~ZwdIoq}2snW>aoQLno-20= zawax8vF)Vj4Rt-T{b!aD@!VDQamg-TZ-HsS2EM^7CQ@x!Ot4tkS3b#^K_22$mfpm6 zI3=w84U>JueuDQM7LTV9b5@;mbpE0{ucYvrIyJGgC4uoKM|aP2cfS7u!pU9<-)9fW zz)C*R0c68qN2rFtY|2O1(_L{7c=j85nw-?ql?OXQk;@*D-GNP~#LB}Bf! z#Ml}5&B%v3FyNUA6GnN`~s_kZBrA33XYdR1P5T?y;6)+Nq!XAss! zI2LkBrH$VH40+n8d&tSUqWP%iQ7_AQc5q0c{+!gT3YcCSn|?fb3TK7BdUO*ZcZ}8j zfSo*=|6~5!ba$QF0{DMNRHgjiTGOpt7&g+ef%z39L74tA+9`D}n#Sm*&ZPHnf5zQc zzq4-_iqFO4WZnHgqAvKw^a!F?80VGRao7j??|WgB;)>t_M>U6Q7=+*b>L(D( zX}V2r_Bk`=#A3uNX?L}Dp|(>xw@Kl~KiJdAf?YrQ2iEjuO8O=2YM)2C{|W)awrGFV zNhNGTMZnUA%(Ih+y31$%9M0`>zNAx(NgUz-T`|ML_cnJNn=@V|PTle5zvD&iG97O3 z;ctn1(uW1Rq26VuoPA`EHy3Dg&0Wn|&BKgjXQv)OO17r+L9phky1P6<|u z*bzO=o2UY7c^ll%J%secbSk@^e+NfqSNz6s7KJgCmZfIkbb7e-KF~J(d-;80OkcGc z)AwElaYe;$c|ZHxvJkeaUjWrYFKyd9|J`gka+U5P`&GU0@ZOZWB(6Xov~It%U(_%4 z;Y$#<=lHb$hwM|wF}|38esIakD?0XHoCV)iZ9i|Wh|}6nf16+0*1F;W{3Yj!$vBz9YC@IOQdR6%r@aZ^pq&(4u2=Bq1EBep{#9|M`Xw-MiQ4R#Vuw&U9PMa8c z!5Qc7TbE+I>ho2@9KTbI6-!6DBSiQ>?fiDWEW!rN}hK5=*D z;kKlSbf@$AA8?DhJ8?cWLqeb9DT9lk-%YmV)olKsQIo#(-+b=&H49Z)n7Jgj@q0JL zAswDr44z%Qo{u1KdJep>Rn@tJ=F)HS6ZuJ<@15WhqsQd4l4>+mzR zQpfn~ti<%O_;NFAez8C3qlGQ--O&H&b?op4H5!%_<&JB=ETOFZA${O-KiKm_d_&fA zez5xQ`0Zpfbs{)>nrEa~^J8NpOW1*S_RA zgrKt;)A#r-ROjeAl49N(wx+6Tc#fm2kGNdD&FdQ<#J2c5s`%6Qq?e*nblL9!Qmzgp z&KD3-d|!Hj1u@4$^#thNs7`W6);O=!YJvOWK?ie&-G+yVkHymvuiMWHFPTb5>jke6 z=qUc44UCCb`HKPg{`_ktb?#Is2=EAuT-P;#m0 zepWH#j5?dIRPLbjZtwL-fx0Xa{E ze9UvpV^z`XOzTu$Pi}J`qoTGdcem+a$Frm*7wcF&PUyaQT!s1sO3X8R@gVHWNCvq@!n^AUh=sLqt~e$5q9K?Pr}h_FSwSc}8aO;4S;Q>~XSsI_A^m>JuWP8)e(0+d`|49C+xe z;`-toBiDfe`qtdhI!#(h#h5-Ny_ThSk|w!OWvQp-Y5qc|Ts@t<2=yy3-zl=sWW-rV zYZF(*#%I-AP+rh*H~c~FmJy0NF@c5^hOkeUmanP-;(UWisp~@cZ1$Y4?FmzL2|l1c z7|~%BZ;N`TvUEB7P@g9jWhJo};w_0oz{$(JW%f&ur^a`m821!DAgvs~tM97HCeHjm z9GxS2zIrc^W_*9K62J(qA2FE`+o@>en0=N`JzC{0{5LW2v;wU!%=~Gbe>|7)w$#g> z=$Y%ew$-cmWmSA6MPZyAIyGsUyFBd3^_p34eP4T-)+j;}Yjm3!5?;Z?lH za?o9gZhFe5p2MDh%f2ZeyX&m=`SeokX?J(ke_5GFmy>ULO51-V7|V*k2STCj6~4JO zqE?W9ZT(SfNAs1>R@HA-IX}L``Bz6R|937K6;`0=shGflbOfJFxLBYn?(!u|w<+XS zCm=e&Px}GdavfD3NOGPE`LDRioj)vh* z^F86fyV%)~da~Hb@w(4PSKc_TLq>zl{D0_4z;VteSL7Q5A9!qT0@CfLBZHF97MWRB ze4=-R8|x$)XPj;`(ee3`J$CGqn3(UW@O5O*+HtK1@7*aH3qC(Uey>32nb*rsy2n0t z5I$je2A~cHRN3427rMOuY5JZT1D@92qxwKGC57`{-_~b@>sB<3j&|<|~X|bg&cHCEQtE5TnxqNX!O&(9Ddu zs*yRD+hoX?RWDy%JT3VYXvrhZGK#;oNLJNad}a*~-xvYs5pJp?n@jY{0a+Ok*{r@& z&9F48EThgXddT`{sEto7Do_uf60P{SJ|5OpR)d}+UwH3gTG_KgCP3Gyl?#Ri{qy!& zf$P~HoPV;z-hXpC!w-Xdb@$upe?e!4-Di7f( zNV`hhNMCI~+mzT6UxWAuJ)n%6XXSwvi{G19(N)c4dj@|@YumV^>=#l@U)pVavQJo` ziuL17rKer>ie{_tcp5MAK?g4M40(rHbYw~7qMM`nSWJ8}n>vB5Tb6SMA6Z%Xc~?e5 z_gCPn!i>`-dVC|tqG(CQCg2C;NYT!ZAN`KZ{?4_8#vfIWBXGh4a6@X~9;vbni`aT5 zTMsyYm-btzG(0SNbzxC{R#V{q60(?nYS)6bJGgHh>kN;u^NNE>U42T~U!txPxUB3K z(XM()qf?ZfwQuROYVJ3Z#%ziAh>ei59*Ckl)v~y5ow$A_MRaUJuH@6{o*Z{$IU^0|hYdU*KKXN=E+s^0MV-AEvzqbXYR#@zolyduYMo^;L-`#%3!#RBpswOtH z^LyaRdP4O_mS+2)xSm`e9uOIt%ONhwQ&AB-E6Zw;W#c63eEW2ltdO_zZo%3i5;W^u zUI3Mun^9vDbw&CXYc+hUvx@mG``Ye`*?j*)a`jXgRZeUh2gixKwl(5ta~OK3~sZ#D}~U zGJs?X;|bsJdMh&N!gJ5blHjd?+n6~cUMJE@r~Gy*=(a=s{|)OJ)fK@lFh9WGCrVK+ zcr^7fZNB*4tDmp~yFxgU=Pi~)oGc+;J?p=Eoek$-ycZ!R((~e(kLF>I^$l}xczZtk z9r-{r@@&L?((0_7`VS!S(;q9ZnRuxw4BLvKq%j6`TUbAs&4*#)XRL($#AK`2#1B1T zv*|>w2c8NRbt{p7(sip_vb3AKZGaUU`?K$md1BcGkQ-^PzcdZ_Bs(r=6t7c4J^^HQ z(42h)-@GPb7bw^i=(dmE*-mluE`Pb9qj}6jEr4rL;OnNLgKAPCk^k8r8l~9 zSkmifV$fsaJ|Qn7|AAF8XE?HZ8yA|FmY$v%-%VzD#`D<)OsZ?o47&T~?X0@iMEs3J zL3uj-0P}ZrF3snPnja%%oO?Xf9ig8fs5(jV#eaYBaq>GkWaS9(>zpA`Wd|!H-N3%V zJ1(DrQ^i~*PKG+GN6uQY#}#$)flZbrbv@Q%xUdd?LKcZ57x7 zJR*=p)da~KL6732os#QUcU?&|d~0-YGOb%2pgs4x=YAj`?g>C{AFSbt&N6Y@=eXV` z+|t~GrZ>p{2$fS7td9$Nzfa!P7dRy7l1EqWL%9!Y<0QI#5kbiYNS|{OrNcn>Znf$} zXR7o<7a{5D9Am%bCpJkkrG7-c*iUutqNH{WKOZhm%w$e=w(K25&pgT9jvbNb^1L2bG7coIb->N zcdqLS>~8P$)ap6QXXpRq`@>1tljmfdOAM=Hd1^xQM^@DFBfE9D^)-7PtC~Rm#?q3r z7vU=n`-4yRlE13I2>9@_CgOnigNR(?m1bvm&YJd#=-O9O=4yX>@bEpgf>Ez3@9ML8 zU}nxrR~UbI$vMB6VwK283ma6H>jp_D#!G);hiVP{RM1zjKVw%v{w5D6Ee zMBZ2UTkZi&BtMBGn79jlkIUye+x%yHBk!MLc(C2FhUk2+pb#?c1@S1>>GXkmka#a+ z4_%$xFo5*lyFGR^uiXL7xwZsxWpaD%DmEZVHoQe->5S{;z8lZW!4KpWl3KeDLFLt&*X`R*HZ6FddvC%o^S zM85tT-5iWXu6)7Ma@4FiF;;!;11Bu%2aRmdYtRu?WbRlsc}42tbqf0FTJ!ns>Tkmi zagW1|1FdTLrHLzcUNOIMEjM~y6BDUsL$dw|U5vFFN4#Dw*cGfscUH0S-V^FVMyv61 z{*>z5?<0S&C5Qfi@Gd&T!i=w|AWMCio(7ULb9B55CZ&^ssb9_F+xbTx=h$sB$0kU%>|oPuCNLjlXyh{@rf& z4hTPAcPt)GLn83YLJqQOn)5vFqS1LWW6a310>1BRSlv7B8E+;W*Sg+a0!xp}w`3wb zXq`S^|5Yrao|;0<^?RKxdD^TrD?#^3ekWbf{|Ob4%g^~KFboj%&0ylR!h!%E(NVu=l9jqo5x=Q1!9 z&Yvo&*^lC{7Np55Z z@J*gB=s4j6c#lX+FjhKL66pr9FoIQ*nH?Aw_Qrcfw8=gO64hOgrvhiHIkR}TXjE}P zRBf*ns#BL5I8R-Cu4!IHIGmuxdh$9!74K_BO6Gd050AcfAtnda?&xHo2?IUEN}xya z0GtVB-?^gCkus^u1%^CC#@jj{w!Jeg*!i>pzQ*C~RyD)UjO(eS^?Z$F)jkF_SMEuF zi#pI_XSN+3M?8n-JDS13iJXvQK=T(e7a1svw4F3$osyU$p86x?%pH3d=3*JSvlYhc zQ;#ae1=iW<7rni%Q1!i~jX0B@QQrRMvt8>9GtyU${XA{^;1hs{?TS3djzTW0Ya=m# za==}qtknYBY7md>gpKVpL8U93a5Y1=kW*12JD895ld6W7C{X(|HbyTUF zc6iqhVq7AB;VE5^JN^zgL%$hxsHW&#hGhXo3h%PnYtJu`Oo&}^x%r;`KXy0e!83xH z$5V3xxTYfMlZVIZ;O)FEzq4&uT{)RkO}mNH~o&&^>y=a<~{z-%F7e~3TK4;A-V40!;slQCU00RbtV48HOlac zr;lB0Sa;4`u=4f#^z5jjCA=i%X0=-86LW{!NANuOn~MF(UJ%=fli+%T+&entd6n?6 zjT82nlb)w4ma93giOw(yX^o87;Ky}Z)_bU0=(IQSGqH%+7WT4F=?+Rwow~h{wGd@JVJ@@jb2-Q5$vQJc9ZQ>lH#l)?^x-qWkx( zJenQhgjCBaRuEzy_=L11`T^_w1jJ-}mV)8yu;p#8Cdt_6qucjbXWFzF_shrVo-3HrsXy>yRkf`iSduqRDY63LC;EkVGpxB+$15JlnQ;d5OoP2sIY!wectp7a{feg* z)Sf%`X!o3)PlZ{ghev#HFcmV?VhL6-wD1>Vh_E9u=d|iOhP792hgty6k@Tf1<@(PI z`uLu`K%ckO0g;L`PDLfB=>!H8J_cRDZr0%pqrc+qVaV!da!cEl;kIsf&bRctWUoK1 zZDqc}0^FyYw^>(rKO;X8%VK|*_W^Ywcj2ARXS>B8xQfpC*>j3cr#|=5??v&ot&FfL zGoPrMz-tv!qBAV-RsE~9dbt-=QlLw?n=BhKfw-P4strq-LSG9VB|ll%lHRO)%7&b0 zoTzKNw@~P$+*A4@v8T>8m`$VZA000VON*!E$_wOIHi#8(*}TTkQ5QK75=$-n={ZEN z!2xzB)Y3XXTiWdhZxU0Q#Jp9uPrQa5pW&z3*46RHHo3v+F&{}v}W z7!$+WN5#XvS(S+eC$a|!sLVTXU>c+U- z*oy`0PmfgSr1<++8-XqOY*Qt3u=YU+$ozoJyb<{5Z^^Z<=+cJlWJl-Fe5$qyc}PA% zce1ofp7x9{aN-_?(qwlr2k8TMlSvuTHC4e={$+HKi{Cv(ZjfVRkBW{5wxeP`_*dF% zvzPgHJ~@4y=nv*TQ0QA-{RT-7zshp)@Tq!Kz+?n(f>%Um9-i3$R`oqKM3U~y`Qg$( z=4UH975+Ec5*6WPveXW`@s7vS<-#+A;o>xDGXo1*2(-(dIqe}|C+o5bq%FYWbI|!(tinSD!vQ#hTK~+ zQ1RN2%6@w^(IuF9 zxKu?uyUm>I`3HJML}pA+6jhvKzaV_mX1PG;ZZ^_l^EUTdLDfM={us-67GBVu=Oc>~ z^@@@Qp2^HC{8Hiqq$?)%3Ad@Ie*)5^2sHZF%KuZYe_%kg{Z~y-^Jush-W2@)$-j&F z;JYMNsIGt31#z-2=qYytlTy4dsw&XWRCKFap1jUEp376T{1vfCVA4N$w+-s<i#~L`B*h*-Om_w$!`t#xYx3~B2 z+P;Oyq2GBzT=*FDFc|q5T$8I3vkL)nem^uIy1>~|Yc+AqGc#Tu7}@xdMU&2w&XD}t zKgqgMX*+Ts&en;!p}aD6wZ<)qEvnC>2c#=!w`+>Gwa=1z-%Zu5T($r7 z;w|*XDj5~t=Lj7@rY-CSaXN7bF$JD6e7GDy^QN8(@C_>ldsg55yW;|EDkyvAqO zHsc<|Q&DF|PUQFT-@tXnzBJp;vW4wohN*JVVgK>#>i3)+Ix`y~y+^y%uENw zs+3TVDQEcunT6Lbk1bbPOxlT0!osQK{`91}K?54C7(G#0wjCy8AFYU0W!_lk70d}s zlv>_YlPcJ`u5=4ue6`Me`SJRkye6H7AI_BBuE1GGlYOFt>c54yT=070G+fekZ>Zqe zQ9LQ#!*}JcV&~OMm-jHkKeVJ*w7m!V&c_S=Mcp0 zmZ;~PJ^j$)dSWy*Emhjheh|NE^?1X-#7d8+Qt~-sld&zLGXpj$t32AO=)HxM8ZEfj z;<>uAvu1ZZ&9?XUDZ(E3?Ko$OPLz8%!w=w`I;Yesc)dS&XQGfVkVR#lR`yh|8i!$>^k@e>38!-V};j?7!)IuFmJb zzGmK2zaQmSYo-!`8Q*Oz~D-Nv0`gurknNUKRRr%tLDfGO>xQowk2M% ztR7lNpT^&NjD9{5PKQSON?iAW&Wqt;w5NfC@i%mOL)WG!K4(LWrr1*62)SV*Ygs1i z?L@$uO|V{9W#&j{5KrJ$YeEn*q{@2sIy$q)b1G*Q)4cO^CN`P$>li}}1K+jf;`{1KB%x8r6 z4d>H2$EfBtVj_RSxd1w75SusxN^XO>NMqkM<5;=~2XfO@^?}?8?fHYZj|f@5L)6Rb zv%-iDsP&T!I6|(hBKxOI`lY*{CbO>Vl#o3D$|A-zPOtwtIt2g5`a)Wa>uze|uPSIY z|5#|OS(-v-_51sF0MA#*<}IhpT_d^XDPGU#oJ1a)dnfAJeI0qdh0b9~ ze?so@zqv>F=bWgBFG}}#g$InX&TM@*kv($~)a)U!+JH%Ygg$*YPH;Cx5pmt;k6oA7$j>u5L_)|+L06-&0R5W*nv`&!N*b<|jw_*qMi zIDsKYn(`TQYVdA(Be7&Fl2reZ?EO>Ls61QtJ#|B;`R>Ny-uITj9iyE1`>~Sh(4hEl z@f75})7PgK>WJ#8U|i(>Wqg5uSGn)*d7G`lhMmo>b&8zlZXQ0qfUZLy68g#qD*F4% zH=k9#2~W$)2)F_l~_ zyrthlztcPd)eB3voQ|J2o`=;4xjD&Bg7U~t*SFoXkle-WA7o7;%EE&OB~wpWMH%4_ z=uGag-Urh&t?T6L!<=a;RADB2E~_T=N;uLuE(_VwQ-;s@4V?^2lh0M%cVLS0oXDnS zFXBbEo1V(g)L%akdY@yT$mr5BwZ|eK5`RH5d9eBE{@vo4BomLR{?Lxd_o(Q}^#w~_ zcd-(u*8_fHT^X5hp{H@aV@}y3$7}F{w30Eoch~rgG81&h(3vxC%ZxKtQLEIvZQ+LA z0s9ltG0W9D`CWC?;#zn{MqQ&@XlS)>;C1`rKZTaI{*pdWACuu8EecZaQ({Iuye>{y z8nj31%8HEdxq{D4c&p<$p`AHv#_;dV*GN1;+;Z2Y+eY|=IWmp7RK21G`SHrQ`u?@w zV%#UCdAdk;RP?6rO8nrwF^tZ!|0)Jo!?+W9cf6~Wf^DhDDEOA)clVMjESoSQL2Vptint?oyx zw^clet?qrymQxBe%#yzFJH27^Nm&sj!$04Dou4CfCsiXk_Ns$nGs;5{Ll{L9mLOJb zp_m`w09}P2pXhS(4BhL6ZWrc){LYykqv))h@&TKFxtIN{xRB1>V8GqHMAfBgY8O>d zc+lqmR!0PRR?3j7vVtnkh%=-9i9JISXV;CrkH>BH1YIEM+Vpp$b#Y3Ab1Ha{oSht|vr1d?^o{wXw<9v4>NNOT zep^ZUXw~ZMJBK8EIICc?Djz9imexK#C4K|l;OK=!zncr<4r0>S1+bqtyt#V~bTur4 zwr+dg=aIMQIq|5g3hkcJQJ_6>dBvK3pxG4iw{un?5>j2)(U8S>F?J-r!s4An2Y`pS z-d4sMLYBys?NV3b?(bwqK899W;2|XTQ&P^`l6zMF3M};L!>V1-eTuU#{Zn-YdD7^% z0@sarlKB|w-rnB9$e8rp?5VSTbP{N|C3=8Nk|bJJA@0MsCmZI@dZ7&?ic#iMJfrM_ zZ!U)z&Xsw0mjg5Meh|mKH6t(j+bm#>BlIFPr)tg$O}Tyqw%g5&zCjaI#3^doNi9t4HF6yH50WA|we^R0D)2$`d*3z@sZ7G&je^mQic70U%{ zf;^nJDUH%D+i-xuW947-S@F29V!lW|A-{v~+juBj+BfwP<4i$Eb7o?Z@x92+kXb;9M}=4depJQK?%GOy&5~VllY_NA?{`;7V9Q6C%*7r z)sKp2$9AA`}m8cSJ%PG5tMWYTiyzR!mdBeM8MJ}=Lht;JY&xLt3{2boVH?oiD zYj7XwaO1R-XRHb+8b8y0d-a0%`9-<~3-ye1+@>wC8e+q9CW9A-MiY9KH6qlG?=L;G z)KUq6&oj!LPk(HvW25vySi1``|LL#VosiiNtSB7w;Hp~7o+JmwbC0uDq5N0POxE?5 zmR{*9V7>8e{vCB(bX{|`)gl9NxMFr?Q-8t>-&vh| zz~bAJd(Ws{dFlPKPZ<3N%R{zxyH4rM9yPhQOzEcc!YR{Pg~kZsJP;GcNU5y&4f&u` zelJxzzn_q*}4@)7drbAdBfowW;0EabrNrr zJ|Ab3x-``yMKyF}tFqfsU`i$3*}3sFOveJ9HGw@B6dp6#In53p3lHT}6=# zKKvs7FDX)d;u-?)i)K?X*9TUM_SmaAyE2*)4BePhs}7Hze>*vTc#QohE)Y+Qy^Q@2 zWd0In78A!=Rn;HHN~c_*r`3;8_pyHI?=yH!=#CrJt+o>c#t7mU(?jszL2J}GII3@# zmY(W2ctC;cM%E>U8{QKT9vlC*;zcI?erCA-E$-#v44(1#&vIDPunXoRro*QkleY0 z&(A%lAtTYJOipBv@J?G794ubnXFL$BCh_c-H7VF2wZX<3JcA1Bh!>9kE_Q`ABxkl{ zCu-O2?|0S~?s9O|vD|LLw<8ZInqpxd#Z z@o%mli23wty~PhaQ)JqaXa3z}rTsqrMxH}{M=&aV*U8?fqlzM&l4Sii-)8!%J73w& zNiiIL0abIgzMR*mWK?yYmFL0RbFbtde8y|wM9XNUgfk?g1E2qSA{$n9ytLLV> zp?hGr70Yhj84LMcmeg;GE(M{BLrQPf)T>A5C1eA(t=`uC1MbP3uqxm1E?jwqR!0Z( zMo|Ty{m!TuJ)dX4GdfayUdU+lvyn8%_rs`rni%=6{Fu0dKlj2$()Zxm{HgxEa+UoF z%4SqYfXrn$(rOhN(mk11s)_>qf$%Jh9O&G&Oo(H;F4jvly<#;aJQ(o$99hhkvs?IF zUrAZt&HBJm^b!$|;>gHR*cTY;4clk+eBq(TeJkS<{%JqEC^WL}dA)h7Q_wOlV)yd= z?&cOVt>pU+SBe=l@Cp<|s*kX0hWzQ8nB%)`{=HqbelKbJIm(R|bUuo%)_A#MM9Nne zH$3A*Vu^)psWj&dmN%a8M?7a@1Ezcm#o1P8x9r?P2X4G`$?q}UnK*rg4RN~b^qEOR z-Psw}pqDyU_(b=m*NRv)EuwTqEq7S`?s;)kI^>w5pA70i`>_*Lx z89H7yL`(B!6g!sI(Oq37mpS2>MRrWB${F(Zo$8wl{ z&nN2zf=}Ht2o83luCqN<&iG{N8Em_RJ4U}e$s0(HV;pw{dSMp$U(|tt&LnVukr>5w z+e}E@UXoAtMpcvK?DVt$hyN|_iBGyu9M!CkZl*_?TRD8D_rL3V{8vvC*8q3ooSUuP z{atZm{yjP?v;Sn?Ri$H(2}vBhCq=o_tMPr2_RG8;*K#jCt`||iW*>3N162nJw%&T8 zXTtuR=oHMir>YVV{aexX=t+s$rF~RCY!(Gi z&#DRY9Y6J-ua@)uzF+nppclJ))?d#)({ZDFHtB%Oo*F|Yp3wKyug2eBe17GSv84K2 z-~+k0pa_v&E>4h`NZl0%o7?YuY8!oSN8T+M0{^b{SFE-Qe@e0gDjGNvXbLz!^+kHJ z{GZRO{*5$hHi04|c7#m&z9%=r=BOG)@MB`q?z`3l3(r(Cae;UAP1oX?BGaNX1WgAeA10)YKR=wDoFnHu*^+@^?VD|N3KxaWETbpvImH)K z$;=bps5=dT>w861S5EvHRu|12=n66hrhTPK49QA*U5~@#V&`nj{NM6|kz1qe%5%Z^ zq4UobUB`0bGZsQxMNd)ZgEfO=3UkL8u6SIn^9}KKc5v@y#2kLFHT#G@_7ihTBC9>* zow~hi_u?eVgUK#Cj?%Hj@g1|~7m%*;HA0S*mz0i~T)LNmYb22;cN*2-ggsVe6N9D;Bz~ZN-*xQi?kn%f*8){h7LM)>O^4Ytlje&qEb;g06HR%JmC|QBt*_j1 zO!FLnV$iJz^V1b7@$_b9+neE-*#~7juIa!bTN1u@K9QwQSc5#8>?hwOl1__E4;8}Y z7$GBEzmdE!eGVkW$@>&|UKZwd3^2xLSMi|FHJvOL1jMwl@NSPz>m~ zNy~Z%y4r3Rl|r*p%3Iko`;Zt!i>U!kDYJf-`&-N1_t_^1vZ~F#KdMqd#5sHL*_XTb zC!2J5;$422l6^(q`*a%BS02I`M7~RmX#R<6CS|SA*s_4qy3yMrI+?i`wBvKv_-BX|xN? zc3@_q?;$OtijE~VNR?47t4)?=U9yPXJ4YSgGm76-Plk`!@G<976G=0V$>}j~{Q*;` z#=CSxpKKn_ly&CkwaOgT8{D7`6^m=<2W5&vV-?tAxvR*Y5;y90soo&5!W~a)ihsvE zk%p3P5^)btH+eUFGrFhwZUYb2Ix0|$-1#V@JL`D2{1D!WKY+G%&#Ta)|BBv{5{V z>)&VO=e8{`_^vu^w|_&;^=~Zq`n>Dl=RaEq996{M=(@=AD3j+3aM_e_kh(V*QJDyB z*%2#HTuL8XmYV7nkxZi3oULXKDZcYLSCG=JFeO+l(#eraO!S-c57NitK~+<|T~qb; zQl8~R#P{@?&3*>TDJhaRbb4I$nu8MqqoSD6BC@aRTcfC`r-{0b)hDRuZ_Czj2S3eT zk}hBdApIufFP*5{J=QK>YR;)^-eq6ywEhx_s4B?M^gTePKf0Wf(XTt0?Z(ecK#d+l z!lYe>SJNRQ;Y&qam0=rfJz3u5pu&IjYQsLnXDrNMae(N+_5>bSKR+uXBbgx+{qbGu z)m{Ji7|UPYv3*`e@5x;nSM10Ec3Jj-h+badNqEjEb$ZcRZJQjweQ}>>(gTrGVa?*r zF;gyb4$8jcS^KkH#RFZyF5nK~Iy25FkIQqlB$NEz_I&Ou`&$TSM3#i^AJUdx1|)Zh z?7`N%kS)t6FPvwVy~1Y$O~dzxSk#VVod`of&+dwxDpI<=DWrPqhLBk$+3K>d9=pm#)i9A&)vPLI zP-XeLf-U-$39qMEQbf)aCybLYwl8%FlD_j7-qURFC_p zqWC};SM{g^DTgfZda`4z<;u{7ANK<_om3 zBuDX0cs){BnGCMR^BI)Mmj#t-Yr@%Jgq=!;6(Y+)pJzc!R$QbG&-qPu85g?U0IgUMYGUS zbwe44Ze8Wx7}=@Wnbf*t?}2p#Nl(?Syri^Wf8*ryc@L3Iu7w-HiJ_8q6B$ZLJS!>f4>w!kA&tM!@- zIxOqN*eJM9hzw;;ukXk7-Jexeqo;GW==!SnWd(})=xvVc4qSmH9cZ}rH!xr7ipAMS zHQX&ZFB(fu7)eqg*pm3H6=#Id5H$B{3|wub2malPkxzHMV#}Fv$BfEcH&50I0tE(n==Dx zM02A0U*^A%i{4pNcRlThembw+4d5}5^{gpz3F$OGv{adV&e#=Cq(^eWrSwd zNOvuN-XH#bu6e3%AyiNi0|o)gspvb}<@P|2oGP{HLe!+rQLUM@m8v6cpV^Zj`)$4- zVY52R)o-+<+kf}=9mwZv&V(zcYkT28+jBPY= zkUB2V=PY=<=S`nV%45lFNknpqqmD3XDY5b<3+}eq`VKGc6uYhqcTJjhUYbs=>Siha zA)hi=Rw{gRYs2Uf&EJfqBsaXYnjIg~yCrjqZK0emo=2N!;5rVSsP0ZDD)hT^cbtSG z>e71HW(y}86z$HBJ~5y0K;fxLsoa!D-@L27syg_8m~Y_v^L`zQB~7pC(wVF4Yk1Rh zB<2hCR(GY^#ddVv^n{0R@`Pk(LHW#yQtmi?@#~rUT7c`LW{GKU%*&g9Q&xWDIg7{r zKRl_s@_xdxJR3gOFb1L>X;s;b`V8LiR2jRyX+E>Qlm8vMIM_~Y&sofxan|__qf+2| zNuTI;mT36p`Jyhi8(GOksixedcDpp5gC$n;E4x#f*ml!;$T@scPLqEdi&*(hVcm1R z`kpu?zwa#2bAR{Q5NXGw+vT*{JJ1JMM0Z8Y$r&ojp{bA9et0WaSjj@YM|T6AxBNhP znf((LrD#9r>hLwDCqyab|51a0RkIIPX(w|1cjR)wes8>r?~kJ$n?FXozLhl<&LQ8c zHImcNdJ=gvL;!1zL`pe_imlvzZ^VA4pA@stJ`XWRQ1X6fzB^s~o-8B1p(vBTD|0S- ziqk=lWFKSat2GBPBB>yFkmpf((M^ctltt;)IRh`g%jEB3FM`O!wx#11`Uy+8GNT}G z92@R$sn12Q4kD$T(|89+pVf z)PBJydix(TC(x0N@oDrF#qK`^YGO=L89m#_GSPGwPEEBTLr1)BW!48?4bc-i<_S?L zls0;MwsV&R8|bA;uhETl&u=HkjahROy(tfC54yxJF@61`PLub;1@(mf4(k*AZ6rhU z2}VKJ_xP`PvGNY>d3`pWlf29f%d?at&wW3E9m7kB6TzlL#y1)SkpYc@11}oXoHf>o z=iW|3cmhxA>*;YsJCe-A3HrZxd*uY2rM@9Qys%rnMUe|;7zx+uDQgR|*S?`n0t;AZ z*wNi+&M@B1VqUVN19rmdh*IGDIXd@t@)mx+R^<%6J*g?_PPxf>)O zHa-&_jn!LwijuV}eDOA8uG>W?3v`E6JmQOVe{av{M0FabC$jd}An}z?tgP!BruR7( zz-eh0iumWwSb3Lqou5Cbzca<4ZI8f)0>7^y8&ar7l zcJ1sMKD@Fd?Tw=qeKQ~4dT#0tRr(#XQ$1H*GMM~|op3l0EvzmvdAjqXRpF3@244Ce z+Y4|Er>hDrMbx!U&CoN)siq=1j*Lm(L487RAL_;))9dpO`{=r#ZF)nfJCff@)bu0x z<5T=@%{`z~xBAlE|41GNp78XAwmLNKSs|ZCr#eul5SLnm-me|27gC@w*)?3~ZZ6B{ zMf8ePz2WnLU-`Nc`KvBdch~ozLlBivl43B-{b@P7w(|b|oE?M8eXh1FE5?rxccJR& zPO6Tr5}mzvhGwD`sqXa8R%q`U>_O)IGb(G!N6&y;Xp(UB-t7L+*56b75C?(2hiY@l z0a+0@o>eEWsQdPvmQqO-)rd%1YIpj#kon3vBgx2A?sOcbynyjr<`CVrBGx0zW~6_D z8=lJ}9-VBLFTCSkEhU8nO*~Vp08KrHRvdNzQg6C$*BOWPDO9Cvzk*Ivjktz>^l(7h zatF`^a*-Z1HQ%nCYEM)BoeaBij=7&h)xO)`XX-D>0io)&7IrrHCd0GGn1?RvVmkzV zqulY&F-hHMDC5c3+&4xZC_GN(BXXZUka)>wn)2LHATeQQQ<-b3DPY8N9qX;gy7IVq z!UtHu*Tjz{$*8XDzF%>O?ULENW0dhs$>`vZ|=59Sz>{6;WrT759-*_M8xw{VM7N>+i_@ zZDInl{gqczwnX^!CVkV!^l7*6xc^LO&y0T6&Ml#bI~R{fpMC2CBq*NIN!<+6SU38j z>e{$ra>unob{Y5#O-f1m%eU=WNX~mTVYgS7-7>f;^NExcf3q|09eWSYM8C5S35T`Q zi;iBbj@~+6P3i5ZF)I#prB!y-6CyS~8>d%QSVffAs zH|aT4W@Kd!C=6v`)P0RRA9eST7S)7FDjf!n$Qfzeh_xD4uV<$9CkWLKXc^_LRjmyL2EG%(F!XQ|K29}Jd7SmFC%!i^>ilY&+pPsu0beI{h zY?w8YBVg)XqG(s}VoYyR9Kc+I)!|Hu=|}kOfB;k@et!>AIujyuxygizK zN2es)`$(luTEbhbBs%!UX)M7w$t5kC)M}lgf$1( zYs>6A)fqx>_Ss&cyG}*%|73s4m_+Re)`}z4jk0CiE4m4N+|X6wyiTJeN#55`y#hW2 zyG&Rh_bW==Mp3tRG<1J8~N+Nzc|vPihMsG{laRA(imxVjm!dRRi|gvh$m1HC(L=0+0!Ycl6cTq z!a7?{0NOyN3tM*Rd{UiONix3Em#r#xta){HqiC#svZ0P!A zIm1bf8)FRMvzfYt2cynJYh=O^`J!- zB~ZLcIb-XL{>i+lt8!kPqbZ5#)hu`f;=-;CwfN~a$sC{^*7V;-;?qc zt#4h2r7fr1tFNd{mQA?*=ofN->Ni&w1=-2MdO!pl)2EXx0KY{frqzwC>?#)lR-8&oYf|4OS?#aFv$7`6>(&s9l!2>9NaS*(X5;e4fT+!0yCXbz2`@ zF*eL|hT|UyV<0);el0%tfflH6l^@n5ANMivaMbSjXDrUb8~JD1eZp%V+-FiQnkaWx z7%r{YpKF$k=Uj~Z!O3sdg8x^agS%U_HM?t&rP(-Teq!bf6ysD^4bR$w3*+7PbIBuj zw`scIX5gHS8*BpXQP1&SSy7Zi=d(y*@R<=RC;0l`;eYD=L@VwgMik6;JiO}rvE?hf zUEVabB8`K$+_=a0(RM$aTHsV7^Ok<)-KWcG#2m%<^sfm#5gNKlP^~}n#g-HLS<=&- zDb9wDq`6h8`Ezoz@QFmpz+i!bz}oq+3ANCH-Bk(h^T+uQRdSqX-qhN)|fzjcU&EW`#WzTI(GC4BT?~qJ>G9pR!Fo8%ROkB}?g;yH}4&#}4#+ zRoE}AvGhmD5pLDpKl$!@;?~HR)Wj=1Sj|57WG}j)ty@Q+v&TJ2p<=pS2pM0RCt|N! z!8@$pw5a%d#uH>ovGSduRz=563-6kZW{JmlrBRIq(BG#o=fXFspNZ(CZfFk_9JiF{ z?dW$_w5AhFN^gFse_e%cXD9?l|HU)&1LDnZvqO{<(Nt-%|`pKIMcC zA!y@mq5HAK6>*Vg9Tl7zkp(5LcY$FAU&5)#%4ZF50lHcgD9=H)Ua8kXozf8*2^O|i zi=JUs&;gyl=`F1XSd*Awba|6_Fm*Q(^+H3Bo?(n(iwk)-rt|?P(vVOeR~_e1zLo_S zRpD4QIaej3ozEVA5F%3^KHTv^ z5@K7z(CJW?6onQm(M1a%r^6I&bk*m<3wDp>EWPoxL~LWLQ5XXhPMZ*E9zfAAJ3ajG4f4|_9EmL=~yT53M3?zr8s zI~j7L8DJ-}9vKKb7x63M=b&ApVtJO8G)hjC(Jr`h7cj#d?YV%|79? zR@JTe`D6`G#&=40*e%yCD;5D=m`;TL#m2mr?*PU`DBbeXls8nrx3_ET_5oN={KI2p zvS)?hA8D58KGx8wP^zYIqwRTet22f=lV-B#SVURLLye}zu?wCL@6pTj5E?<7VhPh% z28xul)JgXDsUA42T2WYc+EYGC^#ZQsYO=qZ$A%wLQP@7>OGKqbdtdV2|DeBBNJM*P z%(4-}N&H{>9col&L%ri#&u7JOv`a;qDoUNQ{@&U25UgFt~9Z*8PDf5Q*u+(yF=035FO)*8|P_U&ED9P>Tf3L zirE#%TV3x=(?s|=2b=&^sNHWu!|>KWdva0i(kF+l?-;$G8$bU`&$S%^C&Y6l`BERf zfqGS!Rvc;>ghnmo&M`UZqRsd|bG3zC9@D~>`k{%|9r?9wc!M^~F6yR4I-xy?TGQ=` z(Tt(Tkt}EMBy$ePh!ES4e#XJe8Iw`AB4$^|TZOiu+j>PRWy|p_pKRk{a~oYVGWxA} z6yBBmcdx#G{^U)o6C)M=D;*X#%?i#6r5Wc0b- z>`o<;5W^~}^NoEsTNAI=Uiob08G?-Hyy)HOE8k;ax0&$jYL@KI3FVHu@<~=(3)c#7 zbDY;Cbaq7a$8sS~b@*M{hqA=2(^A5^+J;<&Z(8FKRcgXtRg?B9mL&BuLSjXu|ZDng(RW%h;zV}Zo&jcEFo;fC3M)H@6VR+ zU)I-%($gbX#BTl*bqrWbL5Jz@#LUJkVyNOI{kI{j9Wk{uY2W7Toz>i?lR6S-zVP49 zl?BY z{X%!nE|$^eV3#fs%95OSH{C}k9{DKSF4DN$^FKh1sDf|%k+k8EqsDEnZg5_aWW!(d$IA=)Sb!Oh=on+M(*w!udgjMOiLqWaO7XosY4G zg-3BmkNjNiT-xqpc7{HXKN7u@>u)-J%YU8TtR~6Y%j&ZKH4``1D*16tzg(d$sj~6T zGHS)DIGY)V}Zh}B~?DZ-xiSj2n&zynt0rD8COz|?BliQ`E1vsRz> zWKQafjJxcU%(|?mWVTIF-;);=k=B0KC0CM`SZmoh$<@^t!5kp(=oNCxR`Kk!aedoZ zw7)tsf|W@f577)fcYe1TJHKJpVZ>K&=uJVjCFtp2jJ(j(-dgIBv724f-+mX~{qm4` z!j6RV_xo@B_kHxq;VPd}j~J?U&+j`zt1fVvU59(H8Fx0JqxRhw`Yv=PdOQP1tVy7} zgYI1Tqtoar+4}(WIcMz^GdQ9STJ}T48x%>Ah3AOS^cXzh9w^S-e=pJ?Ak~!^!9hXS^U-_Kmp6bl4lW5(yO-qtLG0Wx^c7wd-=u1GB1>K@5 zQuoz1hfDuEU*xXh@vKY9Hg|t2?|7R}9pC>fxtwp`g(H4-SC%u*m01=+2a}2`R!`yL zWu0rg*U8IyS}2V!IQy*Ri9W&Lm_cqbYe1*(K2z!=a6Ov(nQI99lo%EfvgzV`6)EF; z(JOr%UAMNC$F4QrdOxRwH3bc*D@oY?oYQ<4OM&S~fjnxvZRZ)5Nku*3&FB+1M9a?B zj^_u&53+9wG^E*rxdKyPi^vwAdPah0k&{{bfH&qoc8|D|bj=<_RK=afI z{uNK|uiUdL|5U%?n85U}E2?!8&nw#^Iw{N9m7ma|o~7Kev!h^mmDh&8PILco`a!;%{XOnlcy9Vl9$2S80e$;xS3UUWY-7F%!kxMdP z>ds$NGy<`*%tp&@?eZ0fsL^eLj?bE)p+3iSO(RD1bp_0Ebm^b{q`QSm_e%5Kd&AB- zmF)7Ld^-O@j2b*i<^R&&%y)&=#J$svM{nf+sWT(yE1gplMeDv_HaoV>>QbJ1;vNM? zT*XA&Yp^jf)k|mpif^U$Gi14E!d_|eo2;QO_JWVk-TGdn&m{xu{?ucN4wyu(Z&soP z_&R42m7j2f%|XWmqN!28=vbhihn`oxqC8*ssKlQ)2c^YRlf@i`%c+rDhn%*s%KMz2 zxD8L8wO$ax5t1Uf)IC*aC(w*Cd3b$9b-ZUdK`|oiKvwJQsQDl2pS(skgse=jB&d05 zJlFOYopFpq8rg;1&4Y6yE+_bnH38VQVIi3;7wY8B(eY932~E(=N3^FM`Bpd)Fb(7~ znY-zJ0>Ts#Ap!3IItJ_LJm+ECEB9J@+2eO`i?-15nyLET+{4z<#L(aZ_-y0V^!IzO zr*GDNXF|do;RdJM!_U)K)R6EQo&rs5AyL$q+^a0<61Lst>fjOQEE3aC*=y!Bp3Ubb z&u8E$(8kYsoMUVrzgfbBX& zvJTJ9QxG)R;y59T;&%XiF z{(kKp*4ArP1hU&dEM)U3cM&}KbyZW;KN3q- zr>5*{=De?V>dz?NORveVd=5%B9z<`yAdh=PL<8OpugX+>ld{4bwQ-4#j|rfP@u@@5 z!Qk~UAHlwi|0^Hzig@A2jJEGv$H>~=p8fzMy*PEyv-%<{#5%>1SVUgoM|i)g9?5dC zv(NBL-W%Se^mS#w%xm2$qj$}yGfG)0x1Jq#oMQ(|tQYh<-4Rr;-lnr46}b_0;6O9e zPXvq;IjwfetO=h`Klk#2xoCqG1&;~KgoP#qA9ilczk8K=OHc7Yk{&g=zO9;UJ^ce$*@yk!Lowo~8NJ-7{@-=x3;_tD^B~MC|beI5&FfDF02w z2OI+$l8!6V?%i|j8%&}@NXEm6khCh6@Y((I4{*Kt`|LXybw7p0pxWA)U*%@d$Er8G zv%4p`Bk*O>HQQEvb+b*Mv>j{@y>qTv7F^mfIc9FuV70FN?K<;}tquk|!Tk zdRSvjj;L56u|af4PMU8uW_^Xv_<7PNQTu+u>}zY{(TQ*m$gHY`9cVz_Q0{W;F&Rq# zJ8_$FXVe&R?m{!FKU6yr*B7hniKz5P-_4VX$hQxxiYIy&u{!B|vR_0?xX;29p(Etw zV8JBL*_Nwi0rsGDgD5-@)}21V-V6(;o9@t2edC^D2jboM;^s$b&Bw;y;pVi0jrfhf9dQYUZ>B=vpq$Aw+=wO zH!ACiz7BB;?1+jFkLITZMzNn)g{8(cAaYP?xwYr1_gx^ZsKyY&JM&Q2l{bWn6@$~; zwF_Abk|NmFiee8ICQ__rp+Th~KlEfx$7w!+Wr$+2$OwFnw65}0)e%FdDxU!>yzhO1 zrY8a(n6@|-&^eNfUA`yQw0r+;AoJD7b}bM)CmSF!?rCPGIO0-qNA)J5?lmo+ag5R@ zWb2-+8GM|pN)$S1r2bpG+y(yh?7WL?dWGi6P1^}4;K zwK5)XNj&cZD2gW0Sh=EI!h6tjs?DnQaRoh|5%R|QV4fG@wyHsa`M3g-zY+>erdkU?cD{c=CNpV1A zyKqQCFxpxgDsy}O99CCeQjaSN>TT2eyte500jG-NkQ{j5ikxhB7JGK>PM@Nq6~{1T zNz1kYwFMUlpRND3bGe-p9^TSZR-GKbE89z`)yNZEJd!`57!I*x5YxyWWyL_zpii7B zjn75TQSP5?8uQoDXv`>I`>dM8q_28jlTD%lV$PZ}YWkUde0V-RzI(25cS0i^kr8$K zik5fX?RfH(NatK{iztVXTcFjvb4xSdkH6y>PfAejvM@av_wmk&>-F`(bXb0n=zF~D zpeIo(9Tc(ogyK}>ie9*&-4sP3GDei;m@IB!4&$@Jt1$g-zVB)e*QOBdGR1=#AWqTb zkRrIdWOc~|Y{AjQ8J>WyJ0%t(B;E3 zbd(j>^euCXWv%UN-K{FJh~=n0(6fu_us%XsZt$6!f&1`?IAhD6TQgnL?-1@9s(DQP zHJSSblbP885*L3oqG^dwefz+1tfUuxUTcvBjs8z9n|37l2l<{kL(LW%UFhM09imOA z<-5zVOpDT9TjhwZlO5xhuzmwerZ-WF8j|umx1wv#N2t`--gXM)!}FrB(MnbpbwnaEhf2{i*v_ zR@^r4c`=&aP~LR&wx+g3l-(co&{^$!+Y#Bjf;cYy%9(zB?nqVF1BNcmAMVHVOpRWt(G*y{)u%`?>#ykb(h+#= zIWzBMt;?>hUyyCFRORL(i*odk`XI}qliAUh5InayiM3+;;?*6^ohL|Ildf14Ecew$W$r$%viW_P&M8Wp_7F_>Jq*&(UDu z!uUBRGYXM&Lyy$`wQC`rO+!bfw_B^n#&d+Q@*&#-l1h<=A@t9Q&f;^33lx7u zYq#Df)9dcGl0(gj`2Xkv*|bESoM@F?-SK-5N&wr3-OmuYlr)6EGMcZ3xnG15qui->~G^@C`c8|Q%&;dz9 zzEu;7MjyWWPAC*x?;*os)pesEIOM$OU-@02I(~Q53o4TR5!Ow8pZ{vb zexA=>9eJpfX*56-ZT2JZT z-{tREK;ok5Hd$WD84zE#^{!X28L@(?6tLyiVr0}HasWM2)%_)2Y9~1i>u?>5jK5JTQWksby#q$KWf;hG2yNq!RoW7 z3m&XwOz}IlubCA+v8=NGK_d6FRu(_2h@b6G_M+}@vTfQ)qgNV|iwwGYa7FI;m)S4i z1_K}ZCvQ`Q{8=(M|0JT&UI{Jcw|u%1``)Klsb$FWmbHz6{my=5B76&o#t%(r$1;+2pr# zch4G^DC*%j9(;z>F?!l@;lu+dazb4fwSp)3l@aGrrzZ8U-5Y0Bs;l~9PLFp*POoA- z^i6!=*%dzZWXFei&Zx1VsxVv7#6k`)>J7Tj4cYPO?waCG`rEVYw#Wn6V{YwI%o*Upy6{nQEE;`@ahYoCqG{KEK* zC&mV9^|RFfP@rfjbU3tyC8I2AcV7{MioUYwj4#HWa!Od_k>e}f-QA_;C@$w(cMf07 z$d9u1s;C%!S2k>&fVEqj=G5M3BNHuECNh;+C~Z!l?1T zh`y>P)koRPezHC(&qTJ9qh6MuF*$dX3pT|KbzjXN4;+Xi*>QhE#YT1d#xFDiiue+~ z+W}VdcXn{$&R>yBjErWXcQRUP*&v^fI1=3gJ`OPe?0)x1M;hMBYv(EKI=ZST>JxPW zU;RuC40t4W2p5j1>#|*r-z{-8smx%4_!6_>0i(S@fuMm}ul5X&X|SM6?#OE$=Ya;oS4Or2V*yqOL0pe`DeevGGhm?Fp*K#i)t>|=M9 z#mYQ&Il`n$$MD5l%DPIvllNOafwJ)#noJ(yy*Ph+&pdB9ZKNL7bj<6X6-sVk0f2gZ`HH8A?4xaSwVm5O$c{{_2Ud}#+Q?(dW0?Bl(<~D6g06TJ1e## zvQv?DX65-iq=Y&gbH>Q^>7mcrne2|D+~v9RHtdUM|6;g8^&Ln}@W0fX_|BLw>>UtI zr5m3zVLYEWKK~YSPOEh7*eLg7TGOhPD;oTLWY`cl)CsBs6A_mAPw{L?Q7kXTs(-d; zeX+Gybco$)Ye9C4(HeIgJq8^imnWsSyOty0l9`Go zZH=&ux+J4&E0ZC5Qg*~Y)6-69NmWLixTl?DPR15zyVWU7`ASRG(RbryF8+u?N`TQJD{B;Z{w3s?ENDe7}9XHmpFpev6|M#&^s_Fu)OXL>Wz2o8~ zsh^S4a@Nckk6V$^#CS+Hn6}7DO5eK17)crPE(6<-myE83{$%s<{})JCcXrS-IAL;- z-1n&KBj^eumpT=+n`8&}J=L+)FDnP_xv5NT#4eDXPkfc<5p#eZ@XRH5oLf+rFZD{~ zGn@tyGJa0lA+L6`zB8r&*x(U4w?U4<5q9Ach$*Vx(6PK{*~QAepCh6?c|7nV`FeU< zYo+!!RPm}ibLZ^r)Mwh6?mi&0CF=v)62=#vBnPcrpLpBxTKL?!fQTWQGBpLh(SV=f zsqp!Xm?*L{f3+H&pPE)}@hT!C>ykCjNnX!12 z!uf<}gmr@?3c7(4$zBwyp`Dh!ujuS|KE1rBNGh}OBKL%@r@rXnFP+>P-s=dQuJ{ZWSbZpy*!Y`l|$BNMtQ-wYp9IgvV5MN z1f2J&@_0y9;aK_34W8#0O{D;SFF>k(+ z{3jw4^)9Exg30zyRqrOwFcOPM%=42N1UuWy9KU^36HLlQcRs}BmE-`@p3Sp@kQ!UpldthDI{dv5e=7@-a{(+>$lvjdcw1#Gvbsh+Cg7z09jn|a-Pb)! z01hF$#{GUmT1MPobwzn^iWd-tRX?dV>0PHI-9XmX6dmi1XCfIJS2~KST-E0_YLEE5 z<=G|@o!r2VtAdm{RU|3#F{M~%?lxmvAN-&2HBIby9*G=QZ=r2Vt9Ab0{ex&PxV7j&9$X;&hAhjGCo_EoVhUT3?wLeO=}Cl_47I%q zMC;ncj9j~T-o2mcKP`&}oB;U%doirw;WNhwsqN(1<_e>*c;@7{`Pu9_v1m;4w1bNE18-RIjb1})m}A=fP35q4`#7ASwwT_{tUssqD&+iJQ)M-W(5QMf2= z@M5?X^%tET(^H~)7>y@|{|KD-8dI8Mry#F6e|2DmBW!CpizfMOjG_>EDer_sIQ;MGXBZ(aTA>UJ7g7};`Ggg~t-62Olpxx>WA#qWO|gH>eiS&|X>fkgPjrheb28 z-%cm^OxPf{A&Ggf&Y8MJXaJ+O8yNoD?H z$HaBkzi$2;&kA~br}@XT@;%^nbBpIHiG#wPPtQuY#l#%hFTwuHI6~DZojyEcSE5^W z1aw}z=*p8Cu*OQlcezH{4C=d1v|JGheFMq&68wnt^0&#gvy2fbQ?0IhQH*Oscw5{SC4=F2N2vyESOh)r>=9L8NIAasv(i{>JN zA4Riqnq8bLBYrwLd15-5ZthJlhdkeAW#v_q7UMcTdo0LQ_kD~g!@^YNw3fA^cAvIJ z={tIm-It>y6Wv)8w{C4&e>dMkxh;xiYl?VZlcISFXgB($1wWVV*YB`sPPs@M}|2_?}nN+7^web+ z(oypaX*5p=N;MYn`--Ny>C>`^ z@Qg!~&G|hZulS4ICw@=97j*G2-hF*{Tf2a2xl`2)DSA#s8*d9~Xav3fjb9qQZGr}5 zYx?sSw=olpS$$iVVK5}RIf$!7PGqOpNFzSk)(|=5z%AU=BK@tgn zkv$^%GZZgbvYaA?5+jIpseV&shrLT*U$I2prF_V<$se3D*z)N+Ph9c8=f7<_UWfHW zMVHV|wsxU*kez3nfeF4|M}IF?+SncyXNDx+_0P&Bg!OZP3}7ZR&#lOct@Z9!!^7v< zAjOE1Ar0KEBJYp8=8S&`=b`SLp$#|p=@lN*D9>L#irj~k^N$|Wvfay4?zB(w{IEk! z_zU!XZ=c7>p15Pzh5XFw&gyl+$K4K`VU@+r?{4)TG{P^Q|D7l;>ysCkbfjonC!6pa zRA&^FSRGYF+1p5;uc8{?;T65UWSe<5p)KAvBQCnNVS_;fwNjnnU}ZV_+4lp{PAhAn zsyY4ayF{{;6f1e(ENSpY*PDNoeR^9{vW`>X)Zu2)ojA)Khn0h@uESW_#3Y$pfadQw zUKUZeV(+5a+#~CTx{1)!j{W65CQo(z+~!TG7o{~5QEOoescgZ5D#^Huz36Hz?)JYp zRZZ8pAcsVEhxy-z2%IwW)u|1t9wIK87jVU9eJ>{vc@NgQY1L(?x8eJZuJuklqo~)L zsgG1!#T_T%ekAs@vbT@^?aH^dv%(D_PrORv|KLf8qDM}!ea;H zUUN#d)x}oRWJoD;Oki1ca#_O!y$)#T&s)T!G)dZ3QKOnDkoY`nL(kGFYzqhNj9T32 zZ8WEH7wR~sdes55A})Yup!VTOL;gtiUQ{e(rxxht8UMq}*}NI?zqO}&Ko<>Qt%T3k zdSY`TNU~<1ai3(r6q~-rhj1P+;tJ*2#AFwq7buA*wt#ph?}t9{u-^n)7Sy)v06MtK zvT_zpUcF{lC_-vqqgmzSHHcnTR+?Q$Wvg;Htrsow`E%EIaEO$|id3;0u|aW=r*v=v zvggeOSkGUTPZ-sD1m)dudRQ-5$HDt#)pP>s1-17`ZeV+Q2h=<%+oqj<%ot@`x2ZX* zwg-9A#XXh5%@gBe`^-7P}RN|1KFV-h-r&Z{;_YLa~%ed8$G(G40 zN8`Wgq^P(YBo(p1#z!@$QZe93_51BIrcge4GH{=V^Y@-5;6C>J!~~zHIg0wjLE5ZE zg(cPZ=oZNuQE#3Aeq!13{W}7)+@EHm=@k))IA!vSy;uG%jX% z;g>)Ix9n>C{Lh<*oVTzY*TxhphCojtN5aYSZs-)w{9j=NFBGrWL`b?MrmoMwOENLv z7OaqBa>NjMUc~}FkO$UkgZAQiid+Sj{NNG2Dw$O+`QY9slHZGwxXv%t^q|rKsxLmE z+mHHVxbmM!a8&bfS`VU%ry@5`@D0@~np)fk;QU!-dO750oHd`v^u;<`QO$NcAtEAp z%!?h{UQS=HsCWHquc$*_maj=voSfkNy(6qlYQ_VS-607VxTLa8ey|g!NMK+UooP##L(|9x1uidp~swku?df1KU_R16$3mb&iV5nx=Dtx2XP*>MMT} zD7#7ZC$?qFYM=*nn5w7;YW2xL3-q>?bfjA2K=sVz|Ig@4c&<8dayFXAw0~cpSyJ<1 z9_N|#Gr3^5e2<(YF(CRBa<_Qg&ohR3GWp;b=7@IGbuTk`tCQIO!Ax=bm0Zml9ZgK)2Gkl4zR*Bj+ zby2w`Crn52Ir)ByIaIBD&={D}&Kg(tCVHbf|LOIM(}H$$exEoK{S#BaZZYo_u_EGscTGv_ zXP55juibrl=;Ee~H<8+ux>z>Z-Pu$xn`3&QObPEuEJ#ITkS~%KipuNnr}zcLp`qRJ zM4+6zb?*Z*kH0b7?ht#N+4jTn`!UKkyeYcEY8`qu5f$~&M!w4~iBmBx z4Bg#RF9d!C4nKdiS8;5D%cGJ_+DSHgPSVs{!u~=gs5@@S>{F;xy~8s0 z=1xozA5t}iJe=!IDz`ej&i5u?y|d>EUE`dT^AN@?VzCxd3+i8Y^@se+h;H~@^7X>% zxhC!}i7Y*#{AKPM9bXfJeXG!lA~4phj_&+H4I@Z}q#Y0x(oSG8^i);}VrrjC46tCG ztdA&Gi(;5g>g0kt|5(kA&7c*8B^mk@q&FRYn|Lp5P5Xb>svXCYZJamzW3}=;a9JW> z<;8~GZ;Kt-F?8pQSde_lkmkyqMhCH)g=AcbWI#sR{+PXwe%850Y(iPK5fQcQemZ?( zip73%<{@?vD?`p3g;;-!-KST|?ub%zCql;OeL$^5T}^TJe%PT-s?Qt#F@Jv_+;Ge7 zy!3Z7m$>=!L;A_Byd&q7r?mPG%u{xSCSyhvZT1e1_;CMp`X8Q~8}t$JYg=!uCwv`Y z%a8EXSsV6)Vw>;yueji5_8%Y{xPK~aZ^R=lrXnoHk$kb)PxSnG%S49f^qJSf zn~{Fxb<`W$J!I+9y@ecBMJ+32UXAMnq9aa4V|}WDnsbyqPV!`n&Z_Q_t6tL4wUu~C zh$!BV{10aD5}&X=N#4j3+IoZ9^oFpDy$MOh?qutwq{tINrmG7Bb-0R%LRHA) zIl6jooEA0}D0ow_F^K4YqCM^@!o(%%6aY{9Se{wCL|62_^SIQb-EB?=@P|v=nlzI5u@yp8hG%cC0vN z)Rbjm{)M0HxiGCweu8TNg+x1{wm7En7*8h3mJ|x4ov1!~nuKgnHH&{|xiF2{G(pwm z1MzA!Q!1-@r<^>iS5zZCZg|#sMn|~xT*;J*Gl#4Zx3nGl@7)gdGtVE;IIeTU;{QMd z|Da8i?+}&dNopKTmA1Df{gPiRClgaX;;rK0yFcG|UJ|9IJTdA;J<+X$l1n01gx`VG zy{$<@7Idh8xXt#2CN@P*$~Pn=CzB(1HgT(=hgI62tN{ebQRx)y6X}Q>ykjVKpeET@ zoX^-MbFPiII_?5@!~_g?MN$P$v(3)k2SRs9e{rM~hzHXM`C9oJ5%1wS$Pw;_9$Jq1 zX`&pm1rO&5XwD}aC+-NugkJQ%yei~T;sLPOjM|aa^_57;2WGH?6~tRMK3N%+;AU%e z?O3$O{=~t?mzsmk*{bIF?CMS?-7M3$5@|)onT2BG|)wHS-ZX)(N=a# zJP_`zuJ4VWQ;R1(bN_k=Cm_kiohZv~q;w+Yz%*vG`3Ma6)lj=-F3FWh2K16jF&^&Z zW%ezF(y`Hq(+~j(i3LKw)1G>Fuld|fci1^xMmKz)u1{svKK(!6;h;C>rw_0P5+&%` z2WThpW*IM(O~?vU{t8*YxFV=15mc5Ww#~_GJra5{yrj_inh-Ae5cUAxYf7-##a_nq zcGvno7V4PrD*IhBI~V)WUH*KEBiR5){Ll1{&J$Lo70t`dDbl(#c@k5D`CM2=N7>UR zqG8P0^^_pVjjRl7?+_P+1k%ohxzc|{ecnmqeJDw>KE-px(h2*GT~jLny6rErJl4^c zM8n-n5L@7iDQxQEK&)RqN{~*n^E5AAbBCdcdug#)O76*i;{>vcj{6Ub zY+rb!_Iq_f0PpR)vxfET5lK)Mp2hF4ARA2*ElIErEggo%iaVvwrAF}K&EXV zsWRuZpb@cbhkiyzTcQJOb(L|~KjXy%V>MhdS;#+LO)!X%4ousUYm}VAbw5+~gvWHl3 zL(}L6>hCete`}DymOYZs#I7Kyf8Hx?yh! z??x^pa+}_Rbb%tAblifM@r?N``T6NiTakQe^>G8^zt>4c#fa%?6IFYz z8*qH7$?lk&hb>P24=SfxOIY=YWAL7}n#Uy>BTm{Ct+AeutT+4Lw$nx?A!U)Q>=P7C zdbxEr`lfP7_C&&#e`FnPDk`la1Oq(*IH7Z-*@^DdpACMC88^ri(}|^6dxj*E>X$6V zplC})-f1t3)t3AY+FRmX2PH#G9~AGC%#!BSyBv4cY2087_Vnypr0!kmFW5_?_FR6N z{A>Jn&Sq58SNt~kfSJ>CXGKRYGCS>gg}3}ZN7vy?-LKxN+->Wgt2(sU1r$xUEk$Rc zr4wp|7j%iO-2R@2b=5RUM=8itv!;~1MSnU8@}9`W)`^SvX>Kkka-u%chF_2d)iBJVUU61q9K{tJBrB}QEuv;gw;L+F^I70?;U=Og;z zmO$Fz`GELwXUERcF@B{<(nB&dJh#OJtADnn;K}W-lbjZaO0tLJnX>&n3;W?)k2l}6 zvnBhn&?U2cT~FT0gOtI;ohLRZ<4s{9RX-GL`x7iMaf_%IJz|WB`~-L0*J+_= z;68Wd$_VdVsa5UXgbsw-aw_HarvyW-#SH zx`P@obBZt_itmEnPWwUS$?b*Nzv zihk2xMM5k847xrky?O(byu_L0=SBfn}MuOc)N?D)auPefpI(uSk zhMjrEwn|;ciw#P@5S@x_w8AFF<8weciAK-?dvP_cX7G0>Yk$V(Sk3+DUTrE$y|+3*Rr z3azDUX1cGiNJ!i48q})#0yi7==MgOr{8!)IT(~g)Ck^75uKmC0U+?byiZH=z>L*n+ z6rYd&0B{gdkt6#JyUvbk7Db?$h#7GQ+ykqVzw-!p0!B?;R*u~SNJsGq4l zBgKSNRoi9v^o;)fPxUPR*K`oMN5`zvR``_KStmz?WU)$7epEMMOA|8HQO7YlG-vF1 z@TRwCpPG(mCh{;hF11$89ft#m^~R*Vz@6(o=G|_x&$cC3Vp%7HhRYiB&>yDHHo23X z){gd+6O9`5xw=YNQwH|g_~*!~Mi-ch!l@gNV)VM}sJ!GJYBmsYm>aBLXQY_2agGNR zXR9ZvBTw<#pw$8?S(+=*&HCN-gYahY&7_m3FV}yCFVCRRLc$bYE%JWZzee{cTTcH~ zwH^GcUia>SgTIL+rQi9^gMH}fl8&+JG~Rj?q<=dg^CbnNI#}MFKMx%#P6*BB?go83 zAlc~WgMD#D&QMrDqF8z>Tp*;|BLC@B_#M%qZFSx!u5iWkiKG(qR}QjuTtLEsc*2Wf zT0l@VQt|VuA{X>ZCpLJ3M7?ll_-*9?Jv~Aed&qG~Lfa|Htw>YQvzbqto~d`0aZi?l z`Wf1Zs0>wDo>az6ZQdj8NQ9(yCCU4#IbmoqPJKP*OjpIi5jmO1boSPr1bSiAwJCAl z?%0&k4P(3FF7aY@54UsF^mC1F9?+KSgXYvPM`l*YJ4-}%&`p%L2ZkSW>{@kGH?*NS z*{#+PyHSYhPyAOXnsy2~e;MiSC^`|6)cZxryEC%*SPzPboN4ASR>eLTz9#pFlWF!< z>-&UGX%iZuOj)Y`?LiCCBFuzBlWfWxcZkQ&;jxW(8T5;h{!}1YMbifvi zl8+R3#={8?b8br*WZ5xr47(rOmWfZ!`d3GAzCZ)gld6~w48g#sZ+y?hef(cP9pCK( zGBsr^yHk|&2^HI~{W~ZIo#DAgoWvqpH+*&>aljms$--C%Bw@`82!Vi8P z>LA}RUj^F9oFAVdx~td`xJ2Ho&Rv(ntcNGxPA@)}&t{KgafBR1k{_5W;_t_tz>QYn z`VUX>#8z`8iHZf|L#gY&BnA+8KjLjfxz#)9tC5)ENBG!JEJ;=?ye3kiczs~S`M3FA zlig^DQFe;Qw}3o~mLlBN#nDUqUN>zCGV_q9dGC(t>0^I96Lq=p<}} ztMrZ{_IEjbybdpiUlONFd;)|8k~QeGtq*(zx16>>&a`W2k;tBNZ;qYqLS0vzXGo5( zAZeAGJ;AbVQt#de-l|gyzU(yCtVKzM+i{$bK9il7lvFF4=%$G6LO+iqhCC_VulQ8h z1N&?AFqmC(BI>AC?-e)M+hRgkRDvR%gNCdv-2p_jAupSlx4y>-Iuq-4cNtenbbQ0$ zA7gH$4Y_UP{W&OfMI?&fsxBbzMZ2sFSoMU`)3v(#xlZ+mtsc;DT4nMoxoS;|YH_=N z_YNW!;SOG1#Dpvvu`ti7kwu^h@)czjE|jid-_uv162Ed@SZ?GbElnc|7d~#>-0q{x z$+vl6AP?Yz>>V0B73ZdojE!okThYHAl>ljHsuZtlx2Y}TyU2}qoh`YIM!TMVoeN_U z@ttk;CwTyZjc9iCnsb*tMKeInftnG*VfCH!gGW%VG9c2Py*=PuQfNW!6690fe{zOT zGNkzn^+HQI0ZMlh?TRb{d&P5o60GA}&_H%;PCn;}-|Q(>-VaIiKxl{|dbUmen3X`$ z@~DH#3f(YB9liAlRIaz!(qbkGRa_g{YliBqj}MQKK`)RM#tsSZhO9oOW^5HN6E|R1X;;2eNPAq>3)4ioPqB^tmw1( zQx#kP#Qom>Wf zAfh9=v$i8{LiiP8$KMD=KbHwFp?pPzcK7|GX%@KVLn>Hj@h8)uz z6ICRzYWVHpA0vL3?OoatY+;=rwjfsWv}VCvqtk_~&^c4#&?HTxO7yr9jmUBFz4k=6;kWWI~X`TtQX;Q!Ye&wSi{g`7izA37w7XrP` z%|Uu*``$iNWO+7NvgiX}9ZxM&ie9-s2 z6aTHzf_DO~SPP}3a%biGELQwyOU(2+)0VWoDOA7jjL98z=EOI2Hmq4ngA9rHu`4ht zstyg=HCRL0XIXtHsI=`QWq5tD&VM5~#<2&X)_QKN%_X zPF^%pNOW>Jd&j+I7dBAtFX!*@-;ON@eumyWu>*g8d0sDjkfE)a7(-U%G5t)pv(t() z{#T$Xj0S`wqSNEf?B9{EQ`wj%c;bz>LYGPJKo`Kh4|CF0rQb@w$i+@mqDx!8dO`-FLZ*>VQ`gue#*- z-4zD}HkicHbnUy3)kma7}nE>US5hy-`J1RrRa) z9QJ05TcCH+r?k!5x;P|8YCzL1R)Fo|7tjH7%UxB~&)f0Suj_v^2SoRp$Y>aY)$gXQ zR+f)EV$R;Uui;dr(=CMt4XV7Ldt}QZRTQ0UDm&-DMo;SmD(i`CLHB^ zkAk6NL~Zg;b^nQ&d8OzEy`z-HQhomiRu!luS2GU?22*6MNN-%)E7CMUXJ2H*F*ReZ zcMJqX|NWN7PMX7ti>?ZHeBQCF;eEtA+6gsj=(%~q6NW6$Ishyf*Ox2iD{B`nJ)dd@ zgrn0jFP@nYFZ{E5%wa<&#z0sc|xFM6E!Rt&L4>$GE{>&7}c&LCMwghY{h)`g#$>r~~n z?>Q%FdqtB&vKSi)S)`CZiVW|vnR`g?t6P=L9aAfldh_wbk@~J&av$kSu^Iu zIf9FpZ=#DPQt#CD<$bR@N7HqJ=zP&n#R4QJ7EW4pn(N2*v$Q;9V!+-C{Wi0YMWF1H z9(4-By4Z#gl8U(=l0@8R;4X!v2U8N-D|!zQH*`k^pKI0uP$R@$%mWLeUH2yhf>xh} zPvwDX2VoNqyG@LrXJr2uxN+{9iLW=xqF`qzQ%62fcy;R*GOQKdn(g`)jIK{Tk1T7F zd3$X0bzH6Wp`DrhBH86U6LhA`sV8H&azZOz)UWzoc$_)ktadYbI@gol%%5b{1^0z@ z<1U@abETv8jF5=R6=#q0AvPcxbDL6;UPD)%%*s;%Sn;gF3KQX?H}>EyW6y-nl9cT2 zmGYPteg~@dU-@KFD)$hlq4=$GH|n&aDtNLe{tP!5aoyq0$wfqr#*#KIWNE{%s?t{W z@}X+OW0Ie{wWVcbk6b-x*FGpRQufC5iSX!5{-SFD?}`j)!=>|G<)I?in0CQkCt|Nn zn9}CQ`5r1BoO)MPF_L5@^U-@BrVTsRYqwcL)#;6{sCVba;3i=f)#c%y{7dn;GG4(s z@^*Hq7GNd;pOwV*zmadMTYZWKe43eXX|L+&Sve}LQ~F^S$s>Ot`x>g=lrkI@InYfoZ>4zMe)sH##0 z!&%|}ZugzLgA$j};iPI&v`SH&XB?P=_Lyt?9CWu%(8w5iinlJ{)*14OI!FhD=T}G9rY%Qd8d|$RH*Vh^@GNO;O^w%kkwYqM;43xE;8GA zAIR@LJ_jaDpXYz%8K4*W;*#NXbioEx2JIN; z%Dc(V*3tCRo~C+=sISzv<9~UnkXH4Lv>}+Q=n%004_|-#y02g;%!zyT$r6`1wz3rV2lG> z*4o~9-WBUt>^nhtYyXTZ8z-hlHJ+H7(G)t$2bvsT>JF+j_X?v z=TGpIh0F+2>|BDr7iX|rchyx?i;B1Cyx)E2 zX7-KwGAHskN6&gf=Vkmo<)DW9Nu03W{eya?Q`M?>&+6n;${n9JeJZ{ywiFWAQcbJ$JTs^tYyw<5?ABWCF0PW}b(>iu?9^%WavAy(OzQit%8RU)z1sI(W#iHOd~(%m zrbb&=_uYGryFdeyak&Py$H`27G5X#sO}cUHP$$c~UptZ)eTJS97Yr}z+3e!URd^s z{;e2k!wccXB5$T}*H9I@EKZ0W%3LS1W-<9pRXd$5PF$t`JkQ{@h&M#xAGD^Hi^bKl_yvi~16fq}T(RxK*`&*kQI#ggm2a zuGI-f47VYZ$aZAUnTYj)nl{$7eLm<$GC4Z5b*tyQA2!J$g~Wy1r0eOrr|1f(nuxZD z@3&bU+IE=!5w!(E)w!diB$*;6d#)+U8iQHHnTjTU?yM+rxz=S{#&j8zV5AMhH`6KT zelC{qpe0fAAbCSpp>D|`IUSKO-{F09GW^B#^{TvQA?TZ}>A#oE*R;1`uA#jfc>E31 z+cJvkc}7~b^-pwQyC)2J;K*Yd;bI)qG{&h4)vHLJkiBpjk$e0d$th>+sxQF{YRwiR z+VXhu|EPR}dX;mNX98^~!WdIskUX3Sx*HEexm{Z*UC&XpnWWoSaDSO^6#7{?MODnN zpTQxcXLqOeg!?^o1x7cqZTWjGZNs9Hr;P@Oi@_!*l5U8Ggf^^GkF_~(!E-gi3KR|h zB7;a?-@C-WHtN*AbG{)gVk8lsvtu#6HYrQ_nEBP%oUEqi3|Y2pTw+NJElo^OGo~iL zc*j}oFv)(n5v6hUgzFB)9nNw&R%A^}ri3{P2hqXNR?x9&WUs{yUcfQ7-kTo+7u}aF z5q8V@qbFX+4RsMW?kXbsB38^N+__A>oWPh<)$eKuv=Z17tSfk4pEM`TzRa&TyN>JF zieKok+`1x@|K;bkNAYik4pCb^xuGCAYzl0pOSjMyZR=wfPwOEG$P+{h?*A~+&!rZ%`)V6 zxXb3XWS#&^MBQw-1E%a@L*}jni>jXI-R|gROnl(uTA#`4En?kM{ajjBEqO51(iGv1+)2Mq! zMA4y}{~u^VJGi}SAuFc8uDd5;MPS>#mxLQmk2)L23jXYd(%bjV6D^ONO-Q@+|D`)m zu_Ca~HiU9V+E97_d9FT&|AUqKc=l!M^W(^B`KH^I zT+A=W9^2ZV2r1?6>JR@KR?^DPZ!?zsVTF*aNQb zpE4@|&IC&y{%Ta{1`TWeGS<^3(elam>XX@j(VzIq#^L1soKDNDPtXllGyZ?_eg0p{ ztTJuo6m-jHs`-$s)Q@WB!4p>SWiEWb-uQE&A<)v?MIVcc zHRfH~W+c*1R_-rkAPyf?{Zd*+_g^B>njJ?Rsnr8DUQGcb_Nklf}^Z9Lx!6#KJR+zXT$6$>+7DbA$$c~vqBzH5i@@4jP z>vLo`TXnux5Yq14-VizlYxh$`%CMUBUiTuF?a<-R&!>S4m%NwWQY7v9d@rKq_@<-! z;y{Mu(S79^SU*eF-`g)b5p;oVJ!eBB`)yeu#0izltcL#LO(S(DVSde@u@Nt2gURZN zyrA`Yo+uX3==>K>Go#r=y76Fz_md@y?LmiC;r&eM`<~=*2`y!Z4%sY&jIW6PL#F&$ z+cg`|oxed5!YxF5p>fSFxZu0dJxq8M)t;-`?hBI?PCSe7TG5951hn8eh3L+EOmdmX z8g)J2^k^>W`Fyr#d*R*mjeD4KuS870Z1S_aaq`*x`7IGuSt~+N5wV(H&tJ^;piosz zzstX6gafIHZ-?b`=zO6$VY<7aX|zR0sq?!!$K|~Rj0m3oirh~m2llIU0k*39As`p9 zh=g9(6H}fh)OxYIlidiOWX!UwH?1qi3nVMbR14rI(!6 zX?I$o%ia=Qk&S^(IC!08m9tAtqp;iOcPkgj8&%&qz8~fZxJ#0vUw?K#FR(Gjr&IN# zmfLL|q9J|m=%MNgOP)wVhQ)gg*D6nO@Q_YI(Ddbl>&a7Y5M-WwUu2BpgDtiw-&TL) zS<;5rtdid}Nk&b#DAnJ7WVf2z+-qi>WX z7cj~Z26C^w-PQPo^%C%Us9&pTA9R_q=R$8n>w!FdBSY=+#ecCFPl z&7^xG1|>A4{7c?W1cyEp%6Oq6hv*wuYRqL_&GquUi1xJH8v!dF(1Px3wJG@QTnS*a%g*$LJ4uLgqM zad|8n$K$YowwX7h8JOn}&CMCT!6)-QplfAYZNa;sE6+$WfYe~8cKx#f$WF%EV<;<$w{gDr6(j0xInJYE z!sM)7F=>nGl&ENM4pxuihJI(Q`VIL5?Ogu-`T8Mv0&qjh#BN5OAwEY;YUTBGTV1&x z(s^O-oFumx{qNa}ai`@+C_eSv+^oLk#N{(~K14f|f1zT6l|l8UqMO;}*7f9L^bcw> z+Ok{`PDNR_#MeVawd<5V=o=!hnw3Lt2wu;+(TU&7GcVD$;+QjkzlbyC&}#|8@)@;zrJi#pifm=B#JCNJ3?tKQH74rK4|U%O>hu ze+3m^*$-$>#1?ejFcX+Z8L|13``=ixPO^O#6x#6iAMo_4yEEQNx$-r@|5Bw14M^K5 zLxXf7UJWOy8lQ<^#9tkT4dUw4hkr}5B7puM?_*m55R?4nq z^ZRE>$AuGW=T3~svAxM5Q+Jeo&zaZx*7xLTm_0)T?mK;OFR*#KcgPAR<|vF9fK#s9TioHviY(p1d~Ehe`0rf5Ka~g4t)TAnpJ&WXxw5LK3n$aj$u9c;U#HPibkC;= zU0wR@EYUb{gwti2+*2~Sct+jocZ^0E&huZ-|9dz16<1IhRM|cAe|snGMl_!i-2vM6 zHrMgDtEF6rZtdQ^Gu;_wPL}1Tp#h{!o&s^6SMWc(nLb;6OQwn5am=!2mSlgXl4|*u zhQjLJ>Zq4~uJ12!P-NXAlhRHf%_J<5e-cN6IDxNB^ z=lpfVBf{3h(~aryqh}7ce+sdJL5v>*P~>71+? zXn*VF|NMt~+Cb?q;fzbFx2U`XSr@Yycd#x_m;!kdSht1Sl)d9;a;m4(gR#bj=7k~I zd8khioi2HRCllK1?$e1?yyV{CL3r~9tar?mP`;qsg%KFBfgMET{TAf zy%2NVZU*Ylh_wDjUBDw-p800|uA`NRvg>aPdd7$d{hl2uqgMR0J8N??=>zz8T4A`V52V4rB{Sbi;~n}w5KpM$ z&PUzKM|XPXo$dO&IyF^Y&=Xm)f6q=EpVI$=nsW`$h~j-E?f^GNmFI{%I{BtZzYv$X zMrc4!xKrxf;9qBj;%!T(z6%QVa`lt+KGpYV!qrbhf1r|>^6ea{s+jzWOD8*fSy>iZ&CapwrGl%p-&=M%nBRt3f7H9>4;wjG39( z0mS2ka@?ZFnfu%bi5;Fw`hoNcfG!>he@ur);z~qJ!IjGr5>8pORJA^yp{Z|RSlRjX zmwSpM>-4ItV@Yy2(Od{FDl0dN?u02NCeAzL8-g+$;{5E^77V!mqes}yU~t`sS~IQa zoWwmVch5JUPyR8)X(CsU^9`ahM)9FRW9_MKRgo9EUei~Gs5@P>Cp)v3l6ICcnxA31 z(8LE})XQ>WXU~XB{R8=bLnW5EZT{!Oy^A;xcIM~%7t?p9iA!om&!$&V`AnCs%lX;r(e$0;%+yoUyXNTL zJ7Pvq@Z_5@Z8xB^FBMCm^Z(`A(ex$Wnd;L1>mK+aW-Aei)qnAROdIixHJ-7mm<9PE zM^cE?u`^8<1$&0ojeYB03VW=VIHBV&m*fQT33>7dK4t5eyuF_8IRz2X$W^>%71V-( zeo{5lK5yr9#A}@I$+?}YdGTlYJ% zDfd+G6#a_mGtBBe=MEY&9Vwro{3Ovhrg&kO9EVQWri4I+fV0#kB>{;TO#(72e(NRHTG{DT-C<`^>li znZpiijv=_E2Uk%&K|Ucmw?wqoSsy-2WG!tBYF*srRr{7bQ1vBIcgWr!V0Fd}Ybg8| zD5^46q97{b9Lee>&^A#_cX?Ld>4ps|tCem%)S{@00?tydS@K!C3uKn0o8*F4hfi_9 zG;=Vb>LVv?ATq(05k2MXqq@^qRMm^)m{*B*`!wBI69<+gEPVr2N9_VuG|$67Ih}#0 z;onEt6sj7zMiSajlcqB|X`8ModU9ktKWw^Uk-jtf-J!EwNAWwlcIkH?{kxO+9W&(g zyHEbzY5Z;;zdQHuF7!JhU-7&D_V2FZcSKtB-N>YUj^8CN<*PsUEq=$89)0c){f=zk zDO%_$U11zU4i9g-O~my1{oh^vVPsWsrtC)kCV4^c)OBTX2stJkJPtix;J(?Yfj<#YDgL z?ib|wShIH~UO%&RmCWC!)^!}KR(H@-BD?@>2#^KKlt=V`bUi6Ey|n$PK(;f)i6*)@yy%M?PUbLzJEG zL34Yi1#|(_AX{0~!75kYF#ARSmgc$$O%$E8hn>-_8MzM)fF-);)j`>uLiR8HKop$Q zXeUP{R@@Jk3uot*gk0BqlZJGCbPA*5EXuX5+IMb6j0EzsF0bK2-^sOD#VNZH@Xn7o|6 zG8OyDaSBF5Sxe@M+*v0yAk_8}J@9<}rSvNjFzo)v_bMB%m@N3@&A{&cj7|@kD~THt z5&KHC)p6gE?rxB7RDB-!6m`EkMfIc6X~=gtn_c-NRCznrRqCr4op|r~-s~|{@9&sy zf-_dscTn2LZ%v+pI(Vo05P7BH!JJ@4n4gflWh2(Tt1I)t=HF5iNmF89l${_=Ik_eh z7Q0xw^4Y;$I~-OXl-}=H9CmBRe9t}uIw{wD&o)f@8mH68Yq@4*f4qOR=E)gW@t?f; z;UG<9BaEbpPwZ@A*Ds>)>;|33M!R0J`asU1yI&EZ<-}AyJNSU=Az;huxvZnk?mN1F zqG??G4QuQkc3((2`Hr?p$VnqHZ|{~|nyJse}2+hW$P00x33qS(>c*iI15z!*`ag92w)fcXs@%EF*UPQeJm? z%Xv~-_n+&Th>Q@C*!&Hwtn(8Yr9&5tbtTj!T?rbY<5jT3aYx`fq*s5V+wIGgJOfpo zMi)%(G$=AXN5ZLOapF+^5#djo7hd$(Sb84L*0g z^n2ZoJv~P28^1Gl{3|mMpos-}GwfK>b79AHn2Tv&SVQQR-*sx(1A2q0d9>r@E64ik zcRZ3hv18SS-QMw8@F2Ebta>aj1`d?8ytQh2ON4}OCp~&^C!rtQq7nBpJhMGH?7EY( z>=|9q?)moW@uBnERNJGKN}lV)h-f)#skb^hHpq=F`x8(mwPX*FR&go|yenP9>Gx93 zk*lCdrpF?0UZ(Z4_&#%Jy$>$iSM0&J!@DeNer1Z3G%k7!ANSStG3yWd)_NUb&F`;} zhdWYa$=axFrMJ!QO7Cd8z40q{^oSzqH0jEG{5NSaQKY3*?#0jmJ!aAvbA@qz(ipWdy8HZn8o^Z> zvu`t%n$vrBj1k?fG)CLOSb&;zNF(EdOeJeDhaL&71LwL%j^EQ`ivKT;(tQ|3MJn?| z#^G7aSBufaFM>FEx=vk{i&nFN+5BcjC);RL-iHOZT zYIf$fdgpD7YVr=I63|!X&Ci+fEIrkTZ;%$A#LH?oGSc6B@+nieh-zuiYat&f=6)rs@hxyHlQa|E3E z;QVFOG}NY5BTm;I#8wfNI9KFDkJzkY7d(01T2xPOQp(-D*<&U@?2lq(cV6#oq!dh} zT%IE{l)MLMsDt^l**9yq5?S5hLCNjW9~o<3PVvvYIqS2pAg@8J=pE~b@(M#4 z%r_}T?tgmx9($5oZFX@qT(P31G4~twKz~N@{HCbKGQJdh8~zwGn6y?G)KZ(@McHPT zUzDKSw=zH1#}o`s`UZy=E_9rRwsi$NN^PTDCH$ z*WXq@?3~^xJ+2{DS(ngDTFo=;IA1$c#U7t0QuQuVHKmEO>mp4tZi!YbeqN=C)^sej z*N1IPlj>=@j8ZbhJ~^$o#eC!2rz^8Mp{{%x5vu%oh#~G`H{9yVweKKDN$nYB$*S3p zSltq5UjGlXAG=sX_(ML|7zfaYjh~m_6+65Sb9L+nY2!rdoSI{(`rz!LM|bFhxApVA zWJM{M+fY^x-)9UpMiJckGKW_Lb@T4;Tglk`+z>&Hbsw=Z<3Nw|&${^7*0*>w*L>vZ z{CV1!GCZl{dDOI&pQ1Z`ZOIdAv;|eG#kh^0?8ZD$d;{fal}D&WrcvtQ=N9Iy>AS>*Jh>A)PV$$-i6XaH1!Rap~?co?6y(w1AcS$2mmC z7ZELwE9Y#(+4ZYxB_i%mk0!3(u28F0`WTOQY|Z)RgmYE0Z1V(;hq}DYAKh@iSxY#?_++?{@GvE8?E7euI+^FQ<# zs)o(eUGAzsQZefKe4C}MxxhX9U55T1XIRA8Bkuf`@d|JCihjxIr;}TD)aU#oJ@VR- zK`)y#s@_bke9m1kYxC^ckCeghcbD69w7VZ^t$G<{*CWp%yki|fTy^M|S4?22W5*h{ z?-QEV7!W&%J)iVoU%YL7vlexy&tG>G*I-v}i@M*9&yIP{&o#?WzH1}9MSn8V###Hk z%bh3|Klgfr)j=8WAWsqNs60iaH0I)Jo`6%%s?S}e;_mpNax7OFdmMH5ckTVOTRo@y zX#3+Cj#qUhuw>Y)AgtpDk;Ze_=&s?lI*}(|5Zs z`L4Z)Va94~BELiAC1&WNyh^+}#}K5R^P<`L#Zy_Ys^GJcAL{ct z-^g{VbPahg|4my%(#<#zf>TstXXM5=R4;P<4MfhX4(Z!%>uA(vXQ{bHKi~)SZ*kJ4hwLJ02s-!Uwwzo;r zbL%bYa{a&~C+&`TqM!3a>qvWXP$Jj`$4ZJJHF;8cMMw|x1bav_Pjsm`vpP#dMK{;w zv!C)a3B~@GdWR{kW3H~q6CNYItsg;jzs=Us|Dd(X{Ji>nixthEpQGgw`{f(@+#ug@ zxhNrRjbUqZ=Wkf0prRm^g1p+0f?Rh%>T{Jvd~Rw>$k|z<2F=`YTWbam*QxY(AXf>a zcb27*8hfiWKIRIq*R0aoS_`qP2V!M=q%7*~dFGpSpwYK#E0U)*0qykO-mWfJL*!1E zwi@E;@7n6=MjEQ8+h#)}pR{qo+|%uA;J3yH{9W~P$+u6ecOpOi%u|YcoyUf8<<`^P z{$%gzwtWRtPj`N9oJ~@37|QTQyYQJhU@Vh)3QzVYYff-#1BR##|E8^&?Rkkev}6rQ z%XZjLByG3RPaM+3>hP?sDHVskKF+>i-|?6#;)6e(-1nYnlznTT{t!F&j*USe-j5tnlx_$LN$A7<74~G8uzfR+fq3BPt z8Y2~3ZI(H)UAvXQijMqy`s~-~vtL>187IeANo9WkGbf}qpHQ|@xyq`qEn&Us8T)f$ zUMeDStO{m@Znd2Bb?XgL@5uv;HDcpxsk84mO@ozGnWNstDvQOtpz^#Q?kd}MYOJ_B z=AKxwS}R${HDNq^`eG4d;tdYGlV;C~?0CaYzMHPe{HdS#PFun=XMX1`D>>Oy%nJGS zcjt<)e2ZtiQIM13S%)68JESGjS*z(e>y#DMyb0!gw$>l%jeU6ahNltd7`$PBOTEz} z-eK`~)?V`_A5KnSf7Unb$(~PAtTGkP=JXF}`JVy`D4>7>3Mim}0tzUgfC36Apnw7j zD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUg zfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7> z3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36A zpnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim} z0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7j zD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUg zfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7> z3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36A zpnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim} z0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7j zD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUg zfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7> z3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36A zpnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3Mim}0tzUgfC36Apnw7jD4>7>3MlaZ GDexcul;= + +/***** + +VCLDX, 0 / INTENSIFY LAST POINT AND LOAD NEW X VALUE + JMS VDIV6 / DIVIDE BY SIX + DISD / DISPLAY READY? + JMP .-1 / WAIT. + DIXY / INTENSIFY + DILX / LOAD NEW X VALUE + JMP I VCLDX / RETURN + +VCLDY, 0 + JMS VDIV / DIVIDE BY FOUR + DILY / LOAD NEW Y VALUE + JMP I VCLDY + +P1777, 1777 + + +/**************************************************************** + + + *7000 + +/GENERAL PURPOSE SYMBOL GENERATOR +/ +CHARS, 0 /ENTRY TO PLOT CHARACTER STRING + DCA ADDR /STORE STRING ADDRESS + TAD I ADDR /FETCH DOUBLE CHARACTER + RTR /SHIFT + RTR / FOR FIRST + RTR / CHARACTER + JMS CHAR /PLOT CHARACTER + SKP /NORMAL RETURN -- SKIP + JMP I CHARS /TERMINATION RETURN -- EXIT + TAD I ADDR /RECALL DOUBLE CHARACTER + ISZ ADDR /ADVANCE STRING ADDRESS + JMS CHAR /PLOT CHARACTER + JMP CHARS+2 /NORMAL RETURN -- REPEAT + JMP I CHARS /TERMINATION RETURN -- EXIT +/ +CHAR, 0 /ENTRY TO PLOT SINGLE CHARACTER + AND K77 /MASK OUT UPPER BITS + CLL RAL /MULTIPLY CODE BY TWO + TAD TABLE /ADD TABLE BASE ADDRESS + DCA POINT /CONSTRUCT POINTER TO 24-BIT CODE + CMA /INITIALIZE COUNTER FOR + DCA COUNT2 / TWO PLOT WORDS + TAD I POINT /FETCH FIRST PLOT WORD + ISZ POINT /INCREMENT POINTER FOR NEXT ONE + SNA /SKIP IF NOT SPECIAL CHARACTER + JMP SPCHAR /ELSE GO PROCESS IT + DCA CURPLT /SAVE CURRENT PLOT BITS +XPLOT, TAD KM6 /INITIALIZE 6-BIT + DCA COUNT6 / COUNTER + TAD YVALUE /RESET Y TEMPORARY + DCA YTEMP / VALUE FOR CHARACTER + TAD XVALUE /OUTPUT CURRENT + DILX /X-VALUE TO CRT + TAD XINCR /INCREMENT + DCA XVALUE / ABSCISSA +YPLOT, TAD CURPLT /RECALL CURRENT PLOT BITS + CLL RAL /GET NEXT BIT + DCA CURPLT /SAVE REMAINING PLOT BITS + SNL /SKIP IF POINT TO PLOT + JMP CNTINU /ELSE JUMP AHEAD + TAD YTEMP /OUTPUT CURRENT + DILY /Y-VALUE TO CRT + DISD / READY TO DISPLAY THE POINT? + JMP .-1 / NO, WE'LL WAIT. + DIXY / SHOOT THE BEAM! + + CLA CLL /CLEAR AC + TAD CURPLT /RECALL CURRENT PLOT BITS + SNA CLA /SKIP IF POINTS REMAINING + JMP WRDEND /ELSE WORD IS FINISHED +CNTINU, TAD YTEMP /INCREMENT TEMPORARY + TAD YINCR / Y-VALUE FOR NEXT + DCA YTEMP / CHARACTER STEP + ISZ COUNT6 /SKIP IF 6 BITS PLOTTED + JMP YPLOT /ELSE PLOT NEXT ONE + JMP XPLOT /GO UPDATE X-VALUE +WRDEND, ISZ COUNT2 /SKIP IF ANOTHER BIT WORD + JMP EXIT /ELSE EXIT + TAD I POINT /FETCH SECOND BIT WORD + SZA /SKIP IF NO PLOT POINTS + JMP XPLOT-1 /ELSE GO PLOT THEM +EXIT, TAD XVALUE /INCREMENT ABSCISSA + TAD XINCR / FOR SPACE BETWEEN + DCA XVALUE / SYMBOLS + JMP I CHAR /EXIT FROM CHAR +/ +SPCHAR, TAD I POINT /FETCH TRANSFER VECTOR + DCA POINT /STORE AS INDIRECT ADDRESS + + JMP I POINT /GO TO APPROPRIATE ROUTINE +SPACE, TAD XINCR /FETCH BASIC ABSCISSA INCREMENT + CLL RTL /MULTIPLY BY FOUR AND + JMP EXIT / GO CREATE SPACE +CRLF, TAD INITX /"CARRIAGE RETURN" RESETS X + DCA XVALUE / TO ITS ORIGINAL VALUE +LF, TAD YINCR /"LINE FEED" + CLL RTL / DECREMENTS THE + CLL CIA RAL / Y-VALUE BY + TAD YVALUE / EIGHT SCALE + DCA YVALUE / STEPS + JMP I CHAR /EXIT FROM CHAR +RESET, TAD INITX /"RESET" RESETS + DCA XVALUE / X AND Y TO + TAD INITY / THEIR ORIGINAL + JMP RESET-2 / VALUES +TERM, ISZ CHAR /TERMINATE CODE CAUSES + JMP I CHAR / EXIT TO P+2 +/ +INITX, 0 /INITIAL X-VALUE +INITY, 327 /INITIAL Y-VALUE +XVALUE, 0 /CURRENT X-VALUE +YVALUE, 0 /CURRENT Y-VALUE +XINCR, 6 /BASIC X INCREMENT VALUE +YINCR, 10 /BASIC Y INCREMENT VALUE +YTEMP, 0 /TEMPORARY Y-VALUE +CURPLT, 0 /CURRENT PLOT BITS +ADDR, 0 /CURRENT STRING ADDRESS +COUNT6, 0 /6-BIT COUNTER +COUNT2, 0 /2-WORD COUNTER +KM6, -6 /CONSTANT FOR COUNT6 +K77, 77 /CHARACTER CODE MASK +POINT, 0 /TABLE POINTER +/ + + +/ +TABLE, .+1 /TABLE BASE ADDRESS + 0 /SPECIAL CHARACTER (00) + TERM /TERMINATION CODE + 7611 / A + 1176 + 7745 / B + 4532 + 3641 / C + 4122 + 7741 / D + 4136 + 7745 / E + 4541 + 7705 / F + 501 + 7741 / G + 5173 + 7710 / H + 1077 + 4177 / I + 4100 + 2040 / J + 4037 + 7714 / K + 2241 + 7740 / L + 4040 + 7702 / M + 277 + 7706 / N + 3077 + 7741 / O + 4177 + 7705 / P + 502 + 3641 / Q + 6176 + 7715 / R + 2542 + 2245 / S + 5122 + 177 / T + 100 + 3740 / U + 4037 + 1720 / V + 4037 + 7730 / W + 3077 + 4136 / X + 3641 + 374 / Y + 7403 + 6151 / Z + 4543 + 7741 / [ + 0 + 204 / \ + 1020 + 4177 / ] + 0 + 436 / ^ + 400 + 0 /SPECIAL CHARACTER (37) + RESET /RESET + 0 /SPECIAL CHARACTER (40) + SPACE /SPACE + 5600 / ! + 0 + 303 / " + 0 + 1477 / # + 7714 + 2277 / MARKER + 2200 + 2313 / % + 6462 + 7777 / BLOCK + 7777 + 300 / ' + 0 + 3641 / ( + 0 + 4136 / ) + 0 + 4040 / UNDERSCORE (52) + 4040 + 1034 / + + 1000 + 0 /SPECIAL CHARACTER (54) + LF /LINE FEED + 1010 / - + 1000 + 4000 / . + 0 + 2010 / / + 402 + 3641 / 0 + 4136 + 4442 / 1 + 7740 + 4261 / 2 + 5146 + 2145 / 3 + 5321 + 1710 / 4 + 1077 + 4745 / 5 + 4531 + 7750 / 6 + 5070 + 6111 / 7 + 503 + 2255 / 8 + 5522 + 705 / 9 + 577 + 2400 / : + 0 + 0 /SPECIAL CHARACTER (73) + CRLF /CARRIAGE RETURN; LINE FEED + 1024 / > + 4200 + 1212 / = + 1200 + 4224 / < + 1000 + 255 / ? + 300 + + + +/ +/ HERE FOLLOW THE PACKED ASCII TEXTS FOR THE VARIOUS +/ VICTORY MESSAGES. PERSONS ADVENTEROUS TO FIND THIS MIGH CARE +/ TO TOGGLE IN SOME CUTE LITTLE MESSAGES OF THEIR OWN. +/ + +MESS0, 3773 +MESS5, 7340 + 4040 + 4040 + 4000 + +MESS1, 1716 + 0500 + +MESS2, 2427 + 1700 + +MESS3, 2711 + 1623 + 4100 + +MESS4, 1617 + 0217 + 0431 + 0000 + + *7400 + +DISBUF, 0 + +/ THE DISPLAY BUFFERS BEGIN HERE AND EXTEND UP SOMEWHERE TO +/ AROUND 7575 OR SO. +/ +/ +/ +/ +/ + + + + + $ + +//////////////////////////// +/ +/ THIS IS THE END +/ +/////////////////////////// + + + + + + diff --git a/sw/spacewar/hachti-joystick/pdp8.ini b/sw/spacewar/hachti-joystick/pdp8.ini new file mode 100644 index 0000000..4ec6aea --- /dev/null +++ b/sw/spacewar/hachti-joystick/pdp8.ini @@ -0,0 +1,4 @@ +! palbart -l test.pal +load test.bin +run 200 +e ac diff --git a/sw/spacewar/hachti/SPACE.PA b/sw/spacewar/hachti/SPACE.PA new file mode 100644 index 0000000..f93b227 --- /dev/null +++ b/sw/spacewar/hachti/SPACE.PA @@ -0,0 +1,2437 @@ +/ SPACE WAR +/ +/ INTERPLANETARY DEATH AND DESTRUCTION ON YOUR +/ LAB-8/E +/ +/ HACHTI VARIANT FOR LAB-8/E +/ +/ EVAN SUITS +/ +/ THIS VERSION WORKS OFF EITHER THE BLUE RIBBON CONNECTOR OR THE +/ SR. WHEN THE PROGRAM IS STARTED (AT 0200) OR RESTARTED THE +/ SR WILL BE TESTED AND IF =0000 WILL BE USED FOR THE COMMAND +/ INPUT. OTHERWISE, THE BLUE RIBBON CONNECTOR (AX08 * C0-C7 * +/ XR OPTION ONLY) CONTINGENCY INPUTS WILL BE USED. +/ +/ WHEN THE PROGRAM IS STARTED THE TWO SHIPS SHOULD +/ APPEAR ON THE SCREEN WITH SHIP 'ONE' ON THE LEFT, SHIP +/ 'TWO' ON THE RIGHT. +/ +/ THE COMMAND WORD BIT ASSIGNMENTS ARE: +/ +/ SR BIT: C: FUNCTION: +/ +/ 0 0 SHIP ONE ROTATES LEFT +/ +/ 1 1 SHIP ONE ROTATES RIGHT +/ +/ 2 2 SHIP ONE ACCELERATES +/ +/ 3 3 SHIP ONE FIRES +/ +/ +/ +/ 8 4 SHIP TWO ROTATES LEFT +/ +/ 9 5 SHIP TWO ROTATES RIGHT +/ +/ 10 6 SHIP TWO ACCELERATES +/ +/ 11 7 SHIP TWO FIRES +/ +/ +/ +/ NOTE THAT TURNING RIGHT AND LEFT SIMULTANEOUSLY THROWS +/ THE SHIP INTO HYPERSPACE. IN THE CURRENT VERSION THE ODDS +/ ARE IN FAVOR OF YOUR MAKING IT BACK SAFELY. THE GAME IS OVER +/ WHEN ONE OR BOTH OF THE SHIPS HAVE BEEN DESTROYED AND THE +/ WINNER (IF ANY) IS IN NORMAL SPACE. WHEN THE WINNER +/ HAS BEEN ANNOUNCED, HIT ANY TTY KEY TO RESTART. +/ + + +/**************************************************************** + +/*************************** +/ CLOCK OPERATIONS + +CLZE=6130 / CLEAR CLOCK ENABLE REGISTER PER AC +CLSK=6131 / SKIP ON CLOCK FLAG +CLOE=6132 / SET CLOCK ENABLE REGISTER PER AC +CLAB=6133 / AC REGISTER TO CLOCK COUNTER REGISTER +CLEN=6134 / CLOCK ENABLE REGISTER TO AC +CLSA=6135 / STATUS TO AC +CLBA=6136 / CLOCK BUFFER REGISTER TO AC +CLCA=6137 / CLOCK COUNTER REGISTER TO AC + +/ BITS IN CLOCK ENABLE REGISTER +CREXT=0100 / EXTERNAL SOURCE +CR2=0200 / 10**2 per second +CR3=0300 / 10**3 per second +CR4=0400 / 10**4 per second +CR5=0500 / 10**5 per second +CR6=0600 / 10**6 per second + +COVSTAT=4000 +CMFREE=0000 / 4096 FIXED FREE RUN +CMPROG=1000 / PROGRAMMED DELAY + +CADC=0040 / START ADC ON OVERFLOW +CINH=0020 / INHIBIT CLOCK +CION=0010 / INTERRUPT ENABLE + +CEV3=0004 / EVENT 3 ENABLED +CEV2=0002 / EVENT 2 ENABLED +CEV1=00001 / EVENT 1 ENABLED + +/ VC8-E OPCODES +DIXY=6055 / INTENSIFY +DILX=6053 / LOAD X +DILY=6054 / LOAD Y +DILE=6056 / LOAD ENABLES FROM A +DISD=6052 / TEST FOR READY + +/**************************************************************** +/ SYMBOL DEFINITIONS FOR PAL8-PAL10 + +XRIN=NOP / DIGITAL INPUT? +XRCL=NOP + +/DSB=XXXX / SET BRIGHTNESS - MUST BE COMMENTED OUT!!! + +DXC=JMS I IVCLDX / X VALUE CONTROL? +DYC=JMS I IVCLDY / Y VALUE CONTROL? + +DXL=0000 / X VALUE LOAD FLAG? +DYL=0000 / Y VALUE LOAD FLAG? +DIS=0000 / ANOTHER STRANGE FLAG + +/CRF=NOP / WHICH FLAG??? +/CCF=NOP / ?? + + +/**************************************************************** +/ +/ THIS PROGRAM RELIES ON THE PROGRAM INTERUPT FACILITY FOR +/ REAL WORLD TIMING PURPOSES. +/ + + *0 + + 0 /EFFECTIVE JMS 0 ON PROGRAM INTERUPT + JMP I 2 /EXIT IMMEDIATLY TO SERVICE ROUTINE + INTSER + +EMPTY, 0 /THESE LOCATIONS ARE RESERVED FOR +ODT1, 0 /DEBUGGERS, ETC. +ODT2, 0 +ODT3, 0 + +/ +/ ALL THE AUTO INDEX REGISTERS ARE NAMED BUT NOT ALL OF +/ THEM ARE USED. THE STATUS OF ANY GIVEN REGISTER CANNOT +/ BE DETERMINED AT ANY TIME EXCEPT BY CAREFUL INSPECTION OF +/ THE CODE. +/ + + *10 + +AUTO10, 0 +AUTO11, 0 +AUTO12, 0 +AUTO13, 0 +AUTO14, 0 +AUTO15, 0 +AUTO16, 0 +AUTO17, 0 + +/ +/ THE FOLLOWING ARE THE DATA FILES FOR THE TWO SPACE SHIPS +/ AS WELL AS CERTAIN OTHER PARAMETERS FOR CALCULATING POSITIONS +/ AND SO ON. THE ORDER OF THE LOCATIONS MUST BE PRESERVED +/ ALTHOUGH THE SIZE OF THE TABLES MAY BE VARIED +/ + + *20 + +ONEOUT, 0 /IF NON-ZERO CONTAINS REAMINING TIME OF EXPLOSION +ONECNT, 0 /NUMBER OF POINTS IN FIGURE TO BE DISPLAYED +ONEFLG, 0 /IN OR OUT OF NORMAL SPACE +ONETHE, 0 /ANGLE OF ORIENTATION ON SCREEN +ONEVEX, 0 /X COMPONENT OF VELOCITY +ONEVEY, 0 /Y COMPONENT OF VELOCITY +ONEPEX, 0 /X POSITION (12 BITS) +ONEPEY, 0 /Y POSITION (12 BITS) +ONESIN, 0 /SINE OF ANGLE +ONECOS, 0 /COSINE OF ANGLE +ONEFIN, 0 /SET WHEN EXPLOSION DIES OUT + +TWOOUT, 0 /SAME CONTENT AND ORDER +TWOCNT, 0 /AS ABOVE +TWOFLG, 0 +TWOTHE, 0 +TWOVEX, 0 +TWOVEY, 0 +TWOPEX, 0 +TWOPEY, 0 +TWOSIN, 0 +TWOCOS, 0 +TWOFIN, 0 + + +/ +/ THESE LOCATIONS ARE USED BY THE "VECTOR GENERATOR" IN +/ DISPLAYING THE FIGURES. A FOUR DOT VECTOR WILL BE DRAWN +/ FROM XONE,YONE TO XTWO,YTWO WITH STEPS OF SIZE DIXTEM,DIYTEM +/ + +XONEDS, 0 +YONEDS, 0 +XTWODS, 0 +YTWODS, 0 +DIXTEM, 0 +DIYTEM, 0 +DISCNT, 0 + + +/ +/ THE NEXT LOCATIONS ARE USED BY CALPOS TO DO A FAST +/ MULTIPLY TO HELP CALCULATE THE DISPLAY FILES. +/ +T10SIN, 0 +T20SIN, 0 +T30SIN, 0 +T10COS, 0 +T20COS, 0 +T30COS, 0 + +CALSIN, 0 +CALCOS, 0 + +/ +/ NOW COME THE VARIOUS ODDS AND ENDS ONE USUALLY FINDS ON +/ PAGE ZERO +/ + +SINE, SINEIN +COSINE, COSINI +MULT, MULTI +RSHIFT, SHIFTR +VECTOR, DISPLY +CALPOS, POSCAL +INTWRD, 0 +INTCNT, 0 +/CLOCK, 0 +HYPER, HYPSET +MESOUT, CHARS +THEADJ, THEAJI +VEESCL, VEELIM +ISHFT, DISHFT +RESET1, RESE1 +GAMOVR, 0 +ACCFLG, 0 +ACCPER, -30 +MEXP, -400 + +PROX, 0 +PROY, 0 +PROLIF, -360 +BUFTMP, 0 +ONEFIL, DISBUF +TWOFIL, DISBUF+40 + +P5, 5 +P10, 10 +P17, 17 +P20, 20 +P37, 37 +P40, 40 +P100, 100 +P132, 132 +P200, 200 +P400, 400 +P550, 550 +P3777, 3777 + +M4, -4 +M6, -6 +M10, -10 +M11, -11 +M264, -264 +M200, -200 +M400, -400 +M550, -550 + +IVCLDX, VCLDX +IVCLDY, VCLDY + +/ +/ THE PROGRAM MAY BE STARTED OR RESTARTED AT ANYTIME AT 0200. +/ THE DATA FILE ON PAGE ZERO IS CLEARED, ALL FLAGS INITIALIZED, +/ AND THE SR EXAMINED. IF THE SR=0 THE DISPLAY UPDATE ROUTINES +/ ARE SET TO PICK UP THE STATUS WORD FROM THE SR. IF THE SR +/ DOES NOT EQUAL ZERO, THE STATUS WORD IS READ FROM THE EIGHT +/ CONTINGENCY INPUTS ON THE BLUE RIBBON CONNECTOR OF THE AX08 +/ (XR OPTION ONLY). JUMP IS THEN TO THE DISPLAY +/ FILE UPDATE TO START OFF THE GAME. +/ + +CDI=6201 + + *200 + + CDI 0 / SET FIELD0 + +START, CAF /START OR RESTART HERE ANY OLD TIME + + DIXY /TO GET THE VC8-E STARTED ONCE + LAS /SR +/TMP SNA CLA + TAD SWRD /USE THE SR + TAD XROPT /USE THE BLUE RIBBON CONNECTOR + DCA COLDST /AND LEAVE IN THE TRAP LOCATION + +RESTRT, CLA CMA + XRCL + CLA CLL + + TAD P17 /FIRST CLEAR THE POSITION AND DATA + DCA AUTO10 /TABLES OF THE TWO SHIPS + TAD TABLEN + DCA AUTO11 + DCA I AUTO10 + ISZ AUTO11 + JMP .-2 + + TAD STRT1 /SET THE STARTING POSITIONS OF THE + DCA ONEPEX /TWO SHIPS + TAD STRT2 + DCA TWOPEX + TAD P37 /SET TRIG FUNCTIONS JUST IN CASE + DCA ONECOS + TAD P37 + DCA TWOCOS /ZERO DEGREES IS POINTING STRAIGHT UP + TAD ACCPER /SET COUNT FOR VELOCITY INCREASE + DCA ACCFLG + DCA ONEFIN /CLEAR ALL GAME END FLAGS + DCA TWOFIN + DCA GAMOVR + JMS I BUFSET /RESET ALL PROJECTILE DISPLAY BUFFERS + + + TCF /CLEAR OTHER REMAINING LIKELY FLAGS + PCF +/ RRB + + 6405 / CLEAR INTERRUPT ENABLE OF KERMIT'S SER PORT :-( + + CLA CMA / ALL ONES + CLZE / CLEAR CLOCK CONFIG REGISTER + CLA + TAD CDELY / LOAD NEG DELAY + CLAB / LOAD TO CLOCK BUFFER + CLA + TAD CCNF / LOAD CLOCK CONFIG + CLOE / SET CONFIG BITS + + CLA CLL + JMP COLDST /AND GO TO IT + +CCNF, CR4+CMPROG+CION+COVSTAT / CLOCK CONFIGURATION +CDELY, -310 / COUNTER PRESET (200) + +/ +/ UPDATE IS REACHED WHENEVER THE PROGRAM IS STARTED OR THE +/ CLOCK COUNT OVERFLOWS INDICATING TIME TO RECALCULATE THE +/ THE DISPLAY FILES AND REFRESH THE DISPLAY. THE INTERUPT +/ COUNT IS RESTORED, THE STATUS WORD IS PICKED UP FROM EITHER +/ THE SR OR BRC, AND THE RECALCULATION PROCESS BEGUN. +/ + +UPDATE, CLA CLL /HERE ON CLOCK COUNT OVERFLOW. + /START NEXT SWEEP +COLDST, 0 /TRAP TO READ SR OR BRC + LAS /HERE FOR SR + DCA INTWRD /STORE TEMPORARILY + TAD INTWRD /MASK OUT LEFTMOST 4 BITS + RTR /FOR NUMBER ONE + RTR + AND LFTHAF + DCA INTTEM /AND STORE + TAD INTWRD /MASK OUT RIGHTMOST BITS FOR NUMBER TWO + AND RYTHAF + TAD INTTEM /ADD TOGETHER + JMP .+3 /AND CONTINUE + +CODST, XRIN /HERE FOR BRC - PICK UP AND CLEAR + XRCL + DCA INTWRD /CONTINUE + TAD M550 /RESTORE INTERUPT COUNT BEFORE NEXT + DCA INTCNT /UPDATE + ION /GET READY FOR THE NEXT CYCLE + TAD ACCFLG /ALLOW VELOCITY INCREASE THIS TIME? + IAC /ONLY WHEN ACCFLG=0 + SMA SZA + TAD ACCPER /IF ZERO, RESET COUNT + DCA ACCFLG + + JMP I .+1 /NOW GET DOWN TO WORK. + ONEUP + +BUFSET, SETBUF +TABLEN, AUTO17-CALCOS +INTTEM, 0 +LFTHAF, 0360 +RYTHAF, 0017 +STRT1, 1000 +STRT2, -1000 +SWRD, 2000-CODST +XROPT, JMP CODST + + + +/ +/ THIS IS THE INTERUPT SERVICE ROUTINE. MOST OF THE +/ INTERUPTS WILL BE FROM THE CRYSTAL CLOCK WHICH WILL BE +/ COUNTED AND UNLESS THE COUNT OVERFLOWS THE INTERUPT IS +/ DISMISSED IMMEDIATLY. IF THE COUNT OVER FLOWS, JMP IS TO +/ UPDATE WITH IOF. +/ +/ SPECIAL CASE IS KEYBOARD INTERUPT WHEN THE GAMOVR FLAG IS +/ SET IN WHICH CASE THE GAME IS RESTARTED. +/ +/ UNEXPECTED INTERUPTS ARE COUNTED AND AFTER ENOUGH OF THEM +/ HAPPEN THE PROGRAM HALTS. IF THIS HAPPENS RELOAD OR FIND THE +/ STRANGE FLAG +/ + +INTSER, DCA INTACC /HERE RIGHT AFTER INTERUPT - STORE + RAR /AC AND LINK + DCA INTLNK /FOR POSSIBLE CONTINUATION + + CLSK /WAS IT THE CRYSTAL CLOCK? + JMP INTBUS /NO TRY SOMETHING ELSE + + CLA IAC RTR /LOAD 4000 + CLSA /GET CLOCKSTATUS AND RESET FLAG + CLA CLL + JMP UPDATE /YES, GO TO IT + +INTBUS, /HERE ON NON-CLOCK INTERUPT + + KSF + JMP NOKEY /NOT THE KEYBOARD, THAT'S BAD! + +KBDIN, KCC /CLEAR KEYBOARD FLAG + + KRB / RESET FLAG, CLEAR AC, READ DATA + AND KPAR / MASK OUT PARITY + TAD NCTRLC / ADD -3 (CTRL-C) + SNA CLA + JMP I KBOOT / REBOOT! + + TAD GAMOVR /IS THE GAMEOVER + SZA CLA + JMP RESTRT /YES, RESTART + + KRB + AND KPAR + TAD NCTRLD + SNA CLA + JMP RESTRT + + JMP INTRET + +NOKEY, / STILL NOT FOUND INTERRUPT SOURCE :-( + TSF / SKIP IF PRINTER FLAG SET + JMP BADDIE / NOT THE PRINTER, NO NEW IDEAS - BAD + TCF / CLEAR THAT FLAG + JMP INTRET / AND GO HOME + +KBOOT, 7600 +KPAR, 177 / ANTI-PARITY MASK +NCTRLC, 7775 / -3 (CTRL-C) +NCTRLD, 7774 / -3 (CTRL-D) + + +BADDIE, ISZ INTGLH /COUNT ONE BADDIE + SKP + HLT /HALT IF TOO MANY BADDIES + +INTRET, CLA CLL /HERE TO DISMISS THE INTERUPT + + PCF +/ RRB + + TAD INTLNK + RAL + TAD INTACC + ION + JMP I 0 + +INTACC, 0 +INTLNK, 0 +INTGLH, 0 + + + +/ +/ NOW BEGINS THE GREAT UPDATE PROCEEDURE, FIRST FOR SHIP +/ NUMBER ONE (THE DELTA SHAPED SHIP WHICH APPEARS ON +/ THE LEFT AT THE START OF THE GAME). IF ALIVE THE STATUS +/ WORD (INTWRD) IS TESTED FOR REQUESTS FOR LEFT TURN, +/ RIGHT TURN, THRUST ON, AND LAUNCH PROJECTILE. THESE ACTIONS +/ MAY OR MAY NOT BE ACTED UPON DEPENDING ON COUNTS AND FLAGS. +/ WHEN THIS IS COMPLETE THE SAME OPERATION IS PERFORMED FOR +/ NUMBER TWO. +/ + + *400 + +ONEUP, TAD ONEFLG /FIRST SEE IF IT'S IN NORMAL SPACE + SNA + JMP ONEOK /YES IT IS + IAC /NO, BUT IS IT JUST COMING OUT? + SNA + TAD ONEFIN /YES, THROW BACK IN IF ALREADY DESTROYED + DCA ONEFLG /OTHERWISE JUST COUNT ONE + JMP I ITWOUP /AND GO TO FIX UP NUMBER TWO + +ONEOK, TAD ONEOUT /IN NORMAL SPACE - IS IT EXPLODING? + SZA CLA + JMP ONEFIG /IF YES, ALLOW NO CONTROLS + TAD TWOFIN /HAS THE ENEMY BEEN VANQUISHED? + SZA CLA + JMS I ONEWN /YES, SIGNAL VICTORY + TAD INTWRD /NOW BEGIN TEST OF REQUEST + AND OP300 /LEFT AND RIGHT TURN TOGETHER MEAN HYPERSPACE! + TAD OM300 /TEST BITS 4 AND 5 + SZA CLA + JMP ONELEF /NOPE, CONTINUE + CMA /YES, CALL HYPER WITH AC=-1 FOR NUMBER ONE + JMP I HYPER +ONELEF, TAD INTWRD /REQUEST FOR LEFT TURN? + AND P200 /TEST BIT 4 + SNA CLA + JMP ONERYT /NO + CLA CLL CMA /YES DECREMENT ANGLE + JMP ONEFIG + +ONERYT, TAD INTWRD /HOW ABOUT RIGHT TURN + AND P100 /TEST BIT 5 + SZA CLA + IAC /YES, INCREMENT ANGLE + +ONEFIG, TAD ONETHE /PICK UP AND ADJUST ANGLE (MAYBE) + JMS I THEADJ /BRING BACK WITHIN LIMITS OF TRIG FUNCTIONS + DCA ONETHE /AND STORE + TAD ONETHE /FIND THEM TRIG FUNCTIONS + JMS I SINE /AND STORE ONCE AND FOR ALL + DCA ONESIN /IN THE APPROPRIATE PLACES + TAD ONETHE + JMS I COSINE + DCA ONECOS + TAD ONEOUT /DO NOT ALLOW THRUST IF EXPLODING + SZA CLA + JMP ONEVEL + + + + +ONEMOV, TAD ACCFLG /ALLOW ANY VELOCITY INCREASE THIS CYCLE? + SZA CLA + JMP ONEVEL /NOPE + TAD INTWRD /YES, ANY REQUESTED? + AND P40 /TEST BIT 6 + SNA CLA + JMP ONEVEL /NONE REQUESTED + TAD ONECOS /YES, ADD IN VELOCITY INCREMENT DEPENDING + TAD ONEVEY /ON ORIENTATION + JMS I VEESCL /BUT DO NOT ALLOW TO EXCEED MAXIMUM + DCA ONEVEY /AND STORE + TAD ONESIN /DO THE SAME FOR THE OTHER (X) COMPONENT + TAD ONEVEX + JMS I VEESCL + DCA ONEVEX + + + +ONEVEL, TAD ONEVEX /NOW UPDATE THE POSITION WITH THE + JMS I ISHFT /VELOCITY COMPONENTS DIVIDED BY 4 + JMS I ISHFT /THIS MAINTAINS MAXIMUM RESOLUTION + TAD ONEPEX + DCA ONEPEX /IGNORE ANY OVERFLOW + TAD ONEVEY /DO THE SAME FOR Y COORDINATE + JMS I ISHFT /AND VELOCITY COMPONENT + JMS I ISHFT + TAD ONEPEY + DCA ONEPEY + TAD ONEOUT /DO NOT ALLOW PROJECTILE LAUNCH IF + SZA CLA /EXPLODING + JMP I ITWOUP + + + + +ONELNC, TAD LNC1FG /OTHERWISE, SEE IF RELOAD IS FINISHED + SNA CLA + JMP .+3 + ISZ LNC1FG /NO, CONTINUE RELOADING + JMP I ITWOUP /AND EXIT + TAD INTWRD /YES, READY TO LAUNCH, TRIGGER BEEN PULLED? + AND P20 /TEST BIT7 + SNA CLA + JMP I ITWOUP /NO, WAIT FOR A BETTER SHOT + /.....I GUESS..... + TAD PROLIF /YES, SET CYCLE COUNT FOR THIS LAUNCH + DCA I AUTO16 /AUTO16 ALWAYS POINTS AT THE NEXT SLOT IN THE FILE + TAD ONEVEX /ADD SHIPS VELOCITY (SCALED OF COURSE) + JMS I ISHFT /TO ORIENTATION TO EXTABLISH X VELOCITY + JMS I RSHIFT /COMPONENT OF PROJECTILE + TAD ONESIN + JMS I RSHIFT /AND STICK IT IN THE FILE + DCA I AUTO16 + TAD ONESIN /MOVE THE LAUNCH POINT OUTSIDE THE + CLL RTL /SHIP OF ORIGIN + TAD ONEPEX + DCA I AUTO16 /AND STORE X POSITION + TAD ONEVEY /NOW DO THE SAME FOR THE Y VELOCITY AND + JMS I ISHFT /POSITION + JMS I RSHIFT + TAD ONECOS + JMS I RSHIFT + DCA I AUTO16 + TAD ONECOS + CLL RTL + TAD ONEPEY + DCA I AUTO16 + TAD M200 /START RELOAD CYCLE + DCA LNC1FG + JMS I RESET1 /RESET AUTO16 TO NEXT HOLE + + JMP I .+1 /NOW TO FIX IT UP WITH NUMBER TWO +ITWOUP, TWOUP + +LNC1FG, 0 /PROJECTILE LAUNCH ENABLE + +OP300, 300 /HYPERSPACE REQUEST CODE BITS 4 AND 5 +OM300, -300 +ONEWN, ONEWIN /POINTER TO VICTORY MESSAGE + + + +/ +/ HERE BEGINS THE UPDATE PROCEEDURE FOR SHIP NUMBER TWO. +/ OPERATION IS THE SAME AS FOR NUMBER ONE ABOVE. +/ + + *600 + +TWOUP, TAD TWOFLG /FIRST SEE IF IT'S IN NORMAL SPACE + SNA + JMP TWOOK /YES, CONTINUE + IAC /NO, BUMP COUNT AND TEST FOR REENTRY + SNA + TAD TWOFIN /IF RE-ENTERING THROW BACK OUT IF FINISHED + DCA TWOFLG /AND CONTINUE + JMP I IONEST + +TWOOK, TAD TWOOUT /HERE WHEN READY TO UPDATE IN NORMAL SPACE + SZA CLA /IS IT EXPLODING? + JMP TWOFIG /YES DO NOT ALLOW HYPERSPACE + TAD ONEFIN /DID WE JUST WIN? + SZA CLA + JMS I TWOWN /YES ENABLE END OF GAME MESSAGE + TAD INTWRD /TEST FOR HYPERSPACE REQUEST + AND OP14 + TAD OM14 /BITS 8 AND 9 MUST BE SET + SNA CLA + JMP I HYPER /8 AND 9 SET. ENTER HYPER ROUTINE WITH AC=0 + /FOR SHIP NUMBER 2 +TWOLEF, TAD INTWRD /TEST FOR LEFT TURN - BIT 8 + AND P10 + SNA CLA + JMP TWORYT /NOT SET + CLA CLL CMA /SET, DECREMENT TWOTHE BY 1 DEGREE + JMP TWOFIG /SKIP TEST FOR RIGHT TURN + +TWORYT, CLA CLL IAC RTL /TEST FOR RIGHT TURN - BIT 9 + AND INTWRD + SZA CLA + IAC /IF SET INCREMENT TWOTHE BY 1 DEGREE + +TWOFIG, TAD TWOTHE /UPDTAE TWOTHE + JMS I THEADJ /BRING TO WITHIN LIMITS OF SINE,COSINE + DCA TWOTHE /AND STORE + TAD TWOTHE + JMS I SINE /CALCULATE SINE AND COSINE FUNCTIONS + DCA TWOSIN /AND STORE IN DATA TABLE + TAD TWOTHE + JMS I COSINE + DCA TWOCOS + TAD TWOOUT /DO NOT ALLOW VELOCITY CHANGE IF EXPLODING + SZA CLA + JMP TWOVEL + + + + +TWOMOV, TAD ACCFLG /NOW FOR ACCELERATION. TEST TO SEE IF ALLOWED + SZA CLA /DURING THIS UPDATE CYCLE + JMP TWOVEL /NOPE + CLL IAC RAL /YES, TEST FOR BIT 2 SET + AND INTWRD + SNA CLA + JMP TWOVEL /NOT SET + + TAD TWOSIN /UPDATE X VELOCITY COMPONENT BY SINE OF + TAD TWOVEX /ANGLE OF ORIENTATION + JMS I VEESCL /AND SCALE TO NOT EXCEED MAX + DCA TWOVEX /UPDATE Y COMPONENT WITH COSINE + + TAD TWOCOS + TAD TWOVEY + JMS I VEESCL + DCA TWOVEY + + + +TWOVEL, TAD TWOVEX /NOW UPDATE THE POSITION WITH THE VELOCITY + JMS I ISHFT /COMPONENTS/16 + JMS I ISHFT + TAD TWOPEX + DCA TWOPEX + TAD TWOVEY + JMS I ISHFT + JMS I ISHFT + TAD TWOPEY + DCA TWOPEY + TAD TWOOUT + SZA CLA + JMP I IONEST + + + + +TWOLNC, TAD LNC2FG /NOW CHECK FOR PROJECTILE LAUNCH. FIRST + SNA CLA /TEST TO SEE IF RELOAD COMPLETE + JMP .+3 + ISZ LNC2FG /NO, COUNT ONE CYCLE AND EXIT + JMP I IONEST + IAC /YES, TEST TRIGGER BIT 11 + AND INTWRD + SNA CLA + JMP I IONEST /NOT SET, HELL WITH IT + + TAD PROLIF /OK, SET PROJECTILE LIFE + DCA I AUTO16 /AUTO16 IS ALWAYS POINTING AT THE NEXT SLOT + TAD TWOVEX /ADD SHIPS VELOCITY + JMS I ISHFT /(ADJUSTED) + JMS I RSHIFT + TAD TWOSIN /TO THAT OF PROJECTILE - AGAIN X COMPONENT + JMS I RSHIFT /FROM SINE OF ANGLE OF ORIENTATION + DCA I AUTO16 + TAD TWOSIN /SET INITIAL POSITION TO BE JUST AHEAD + CLL RTL /OF THE SHIP + TAD TWOPEX /X COMPONENT + DCA I AUTO16 + TAD TWOVEY /NOW THE Y COMPONENTS FROM Y VELOCITY + JMS I ISHFT /Y POSITION AND COSINE + JMS I RSHIFT + TAD TWOCOS + JMS I RSHIFT + DCA I AUTO16 + TAD TWOCOS + CLL RTL + TAD TWOPEY + DCA I AUTO16 + TAD M200 + DCA LNC2FG /200 CYCLES OF RELOAD + JMS I RESET1 /DRINK LEADEN DEATH, NUMBER ONE! + + JMP I .+1 /FINAL EXIT TO DISPLAY FILE CALCULATIONS +IONEST, ONESET + +LNC2FG, 0 /RELOAD COUNT + +OP14, 14 /HYPERSPACE CODE +OM14, -14 +TWOWN, TWOWIN + + + +/ +/ HERE BEGINS THE DISPLAY CALCULATIONS FOR THE TWO SHIPS. AT +/ THIS POINT ONLY THE POSITION AND ORIENTATION OF EACH VESSEL +/ IS ONF INTEREST SINCE THE VELOCITY AND ALL THAT HAVE ALREADY +/ BEEN TAKEN CARE OF. FOR THE BOTH SHIPS THE DISPLAY FILES ARE +/ CALCULATED AS A SERIES OF PAIRS OF X,Y COORDINATES. BETWEEN +/ EACH PAIR OF POINTS A FOUR POINT VECTOR WILL BE DRAWN. THE +/ ACTUAL COORDINATES ARE CALCULATED AS DISPLACEMENTS +/ FROM THE CENTRAL PSOTION OF THE SHIP, TAKING INTO ACCOUNT THE +/ ANGLE OF ORIENTATION. THE FORMULAS FOLLOWED ARE: +/ +/ X(POINT)=X(BASE)+X(REL)*COS[THE]+Y(REL)*SINE[THE] +/ +/ Y(POINT)=Y(BASE)+Y(REL)*COS[THE]-X(REL)*SINE[THE] +/ +/ WHERE SINE[THE] AND COS[THE] ARE THE FUNCTIONS OF THE +/ ANGLE OF ORIENTATION, X(BASE) AND Y(BASE) ARE THE +/ COORDINATES OF THE SHIPS POSITION AND X(REL) AND Y(REL) +/ CORRESPOND TO DISPLACEMENT PAIRS DEPENDING ON THE SHAPE +/ OF THE FIGURE. ALL X AND Y RELS LIE WITHIN THE RANGE 0-3 AND +/ THERE FORE ALL NECESSARY DISPLACEMENTS FROM BASE COORDINATES +/ MAY BE CALCULATEDFROM DIFFERENT COMBINATIONS OF T10SIN, T20COS +/ ETC. THESE VALUES ARE CALCULATED BY A CALL TO POSCAL WITH THE SINE +/ AND COSINE OF THE ANGLE OF INTEREST IN CALSIN AND CALCOS. +/ +/ FOLLOWING THIS METHOD ANY FIGURE DESCRIBABLE WITH A 7 BY 7 +/ MATRIX OF POINTS MAY BE QUICKLY CALCULATED. +/ +/ BEGINNING AT ONESET DIFFERENT DISPLACEMENT PAIRS ARE CALCULATED +/ AND DEPOSITIED THROUGH AUTO10 TO FORM THE DISPLAY FILE FOR SHIP NUMBER ONE. +/ + + + *1000 + +ONESET, CLA CLL /BEGIN DISPLAY FILE FOR NUMBER ONE + TAD ONEFLG /DONT BOTHER IF NOT IN NORMAL SPACE + SZA CLA + JMP I ITWOST + TAD ONESIN /SET UP FOR MATRIX COMPONENT CALCULATIONS + DCA CALSIN + TAD ONECOS + DCA CALCOS + JMS I CALPOS /CALL THE CALCULATOR + +/ +/ CONSIDER THE 7 BY 7 MATRIX OF DISPLACEMENT POINTS WITH THE +/ CENTER AT 0,0 CORRESPONDING TO THE SHIPS POSITION. A SERIES +/ OF POINTS IS NOW DESCRIBED AROUND THIS CENTER USING THE +/ MULTIPLES OF THE TRIG FUNCTIONS JUST CALCULATED +/ SO THAT ANY POINT ON THE OUTLINE IS DESCRIBABLE AS X,Y +/ DISPLACED BY X,Y OF THE SHIP ITSELF +/ + + TAD ONEFIL /SET UP AUTO10 AS THE DISPLAY FILE + DCA AUTO10 /POINTER + TAD ONEPEX /THE FIRST POINT OF THE OUTLINE IS + TAD T30SIN + DCA I AUTO10 / 0,3 OR TOP CENTER + TAD ONEPEY + TAD T30COS + DCA I AUTO10 + + TAD T10COS + CIA /THE SECOND IS + TAD ONEPEX + DCA I AUTO10 / -1,0 + TAD T10SIN /OR JUST LEFT OF DEAD CENTER + TAD ONEPEY /AND SO ON + DCA I AUTO10 + + TAD T30SIN + TAD T30COS /THE THIRD POINT IS + CIA + TAD ONEPEX / -3,-3 + DCA I AUTO10 + TAD T30COS /OR BOTTOM LEFT HAND CORNER + CIA + TAD T30SIN + TAD ONEPEY + DCA I AUTO10 + + + + + TAD T10SIN + CIA /FOURTH POINT + TAD ONEPEX + DCA I AUTO10 / 0,-1 + TAD T10COS + CIA /OR JUST BELOW CENTER + TAD ONEPEY + DCA I AUTO10 + +FLAM1, TAD INTWRD /TEST FOR POWER ON. IF ON, DRAW THE + AND P40 /FLAME WITH AN EXTRA POINT SOME + SNA CLA /DISTANCE DIRECTLY BELOW THE SHIP + JMP ONECON /POWER NOT ON - CONTINUE + TAD ONEOUT /DO NOT ALLOW IF EXPLODING + SZA CLA + JMP ONECON + + TAD ONFG1 /USE ONFG1 TO TURN THE FLAME ON AND + SNA /OFF TO MAKE IT FLICKER. DISPLAY THE + CLA CLL CMA RAL /FLAME ONE TIME OUT OF THREE + DCA ONFG1 + + ISZ ONFG1 + JMP ONECON /ONE OUT OF THREE TIMES THIS WILL SKIP + + TAD ONFG2 /VARY ALSO THE LENGHT OF THE FLAME + CMA /WITH LONG SHORT LONG SHORT + DCA ONFG2 + + TAD ONFG2 /TIP OF FLAME AT EITHER + SNA CLA + TAD T10SIN / 0,-4 OR + TAD T30SIN / 0,-3 + CIA + TAD ONEPEX + DCA I AUTO10 + TAD ONFG2 + SNA CLA + TAD T10COS + TAD T30COS + CIA + TAD ONEPEY + DCA I AUTO10 + + TAD T10SIN + CIA + TAD ONEPEX /RETURN DISPLAY TO 0,-1 + DCA I AUTO10 + TAD T10COS + CIA + TAD ONEPEY + DCA I AUTO10 + CLA CLL CMA RAL /ADD -2 TO POINT COUNT + + + + +ONECON, TAD M6 /SET POINT COUNT TO -6 OR -8 + DCA ONECNT + + TAD T30SIN /CONTINUE WITH DISPLAY FILE - THIS POINT + CIA + TAD T30COS / AT 3,-3 + TAD ONEPEX / + DCA I AUTO10 /OR LOWER RIGHT HAND CORNER + TAD T30SIN + TAD T30COS + CIA + TAD ONEPEY + DCA I AUTO10 + + TAD T10COS /NEXT + TAD ONEPEX / + DCA I AUTO10 / 1,0 + TAD T10SIN / + CIA / OR JUST RIGHT OF CENTER + TAD ONEPEY + DCA I AUTO10 + + TAD T30SIN /FINALLY BACK TO + TAD ONEPEX / + DCA I AUTO10 / 0,3 + TAD T30COS / + TAD ONEPEY / TOP CENTE + DCA I AUTO10 + + JMP I ITWOST /NOW FOR NUMBER TWO +ITWOST, TWOSET + +ONFG1, 0 /USED TO COUNT FLICKERS +ONFG2, 0 /SHORT OR LONG FLAG + + + +/ +/ HERE BEGINS THE DISPLAY FILE GENERATOR FOR SHIP TWO. +/ IT WORKS JUST LIKE THE ONE FOR NUMBER ONE BUT WITH +/ DIFFERENT DISPLACEMENT PAIRS AND TWO EXTRA POINTS +/ + + *1200 + +TWOSET, CLA CLL /DONT BOTHER IF NOT IN NORMAL SPACE + TAD TWOFLG + SZA CLA + JMP I IFILDS + TAD TWOSIN /SET UP TO HAVE DISPLACEMENT INCREMENTS + DCA CALSIN /CALCULATED + TAD TWOCOS + DCA CALCOS + JMS I CALPOS + + TAD TWOFIL /SET AUTO10 TO POINT TO SECOND DISPLAY + DCA AUTO10 /FILE + TAD T30SIN /FIRST POINT AT + TAD TWOPEX / + DCA I AUTO10 / 0,3 + TAD T30COS / + TAD TWOPEY / OR TOP CENTER + DCA I AUTO10 + + TAD T20COS + CIA + TAD T20SIN + TAD TWOPEX + DCA I AUTO10 + TAD T20SIN + TAD T20COS /SECOND POINT + TAD TWOPEY / -2,2 + DCA I AUTO10 + + TAD T20COS /THIRD POINT + CIA / -2,0 + TAD TWOPEX + DCA I AUTO10 + TAD T20SIN + TAD TWOPEY + DCA I AUTO10 + + + + TAD T20COS + TAD T30SIN + CIA + TAD TWOPEX /FOURTH POINT + DCA I AUTO10 / -2,-3 + TAD T30COS + CIA + TAD T20SIN + TAD TWOPEY + DCA I AUTO10 + + + + + TAD T20SIN + CIA /NEXT + TAD TWOPEX / 0,-2 + DCA I AUTO10 + TAD T20COS + CIA + TAD TWOPEY + DCA I AUTO10 + +FLAM2, CLA CLL IAC RAL /NOW THE FLAME BIT. CHECK FOR POWER ON + AND INTWRD + SNA CLA + JMP TWOCON /NO, FORGET IT + TAD TWOOUT /NOT ALLOWED IF EXPLODING + SZA CLA + JMP TWOCON + + TAD TWFG1 /SET THE 1-3 FLICKER AS WITH #1 + SNA + CLA CLL CMA RAL + DCA TWFG1 + + ISZ TWFG1 /ALSO THE LENGHT VARIATION + JMP TWOCON + + TAD TWFG2 /EVERY OTHER TIME LONG + CMA + DCA TWFG2 + /FLAME TIP AT EITHER + TAD TWFG2 / 0,-3 + SNA CLA /OR + TAD T20SIN / 0,-5 + TAD T30SIN + CIA + TAD TWOPEX + DCA I AUTO10 + TAD TWFG2 + SNA CLA + TAD T20COS + TAD T30COS + CIA + TAD TWOPEY + DCA I AUTO10 + + TAD T20SIN /NOW BACK UP TO THE SHIP + CIA + TAD TWOPEX + DCA I AUTO10 + TAD T20COS + CIA + TAD TWOPEY + DCA I AUTO10 + + CLA CLL CMA RAL /ADD -2 TO POINT COUNT + + + + +TWOCON, TAD M10 /SET POINT COUNT TO -8 OR -10 + DCA TWOCNT + + TAD T30SIN /CONTINUE WITH DISPLAY FILE + CIA /NEXT POINT AT 2,-3 + TAD T20COS + TAD TWOPEX + DCA I AUTO10 + TAD T30COS + TAD T20SIN + CIA + TAD TWOPEY + DCA I AUTO10 + + + + TAD T20COS /NEXT POINT + TAD TWOPEX / + DCA I AUTO10 / 2,0 + TAD T20SIN + CIA + TAD TWOPEY + DCA I AUTO10 + + TAD T20COS /AND THE NEXT AT + TAD T20SIN + TAD TWOPEX / 2,2 + DCA I AUTO10 + TAD T20SIN + CIA + TAD T20COS + TAD TWOPEY + DCA I AUTO10 + + TAD T30SIN + TAD TWOPEX + DCA I AUTO10 + TAD T30COS /AND THE LAST AT + TAD TWOPEY / + DCA I AUTO10 / 0,3 + + JMP I IFILDS /NOW TO DISPLAY THE WHOLE MESS +IFILDS, FILDIS + +TWFG1, 0 /FLIK THE FLAME +TWFG2, 0 /LONG OR SHORT + + + +/ +/ HERE TO DISPLAY THE TWO SHIPS. CHECK FIRST FOR COLLISION +/ AND THEN SET THE TWO PAIRS OF COORDENATES FOR THE END +/ POINTS AND CALL THE "VECTOR GENERATOR" TO DRAW THE DOTS +/ IN BETWEEN. WHEN THE COUNT OVERFLOWS DO THE SAME FOR +/ NUMBER TWO. THEN EXIT TO DISPLAY ALL THE PROJECTILES. +/ + + *1400 + +FILDIS, CLA CLL /ALL SET TO GO + JMS I COLIDE /TEST FOR COLLISION FIRST +/ DSB 1 /IF NO COLLISION + TAD ONEFLG /SKIP NUMBER ONE IF NOT IN NORMAL + SZA CLA /SPACE + JMP TWODIS + + TAD ONEFIL /SET UP POINTERS TO DISPLAY FILE + DCA AUTO10 /FOR NUMBER ONE + TAD ONECNT /ALONG WITH VECTOR COUNT + DCA AUTO11 + TAD I AUTO10 /SET OUT THE FIRST POINT PAIR + DCA XONEDS + TAD I AUTO10 + DCA YONEDS + TAD ONEOUT /NORMAL DISPLAY OR EXPLOSION? + SZA CLA + JMP I IONEEX /GO ELSE WHERE FOR EXPLOSION + +FILONE, TAD I AUTO10 /STEP TO NEXT PAIR OF POINTS + DCA XTWODS /SET X AND Y TO NEW POINT + TAD I AUTO10 + DCA YTWODS + JMS I VECTOR /CALL THE DOT DRAWING MACHINE + ISZ AUTO11 + SKP /COUNT + JMP TWODIS /DO NUMBER TWO ON OVERFLOW + TAD XTWODS /SWAP POINTS FOR NEXT PAIR + DCA XONEDS + TAD YTWODS /THE GENERATOR DRAWS FROM ONE + DCA YONEDS /TOWARDS TWO + JMP FILONE + + + + +TWODIS, TAD TWOFLG /HERE TO DO NUMBER TWO + SZA CLA /BUT NOT IF IN HYPER SPACE + JMP I IPRODS + + TAD TWOFIL /SET UP FILE POINTER AS IN ONE + DCA AUTO10 + TAD TWOCNT /AND THE COUNT + DCA AUTO11 + TAD I AUTO10 /I SUPPOSE THIS COULD BE A SUBROUTINE TOO + DCA XONEDS + TAD I AUTO10 + DCA YONEDS + TAD TWOOUT /IS IT EXPLODING? + SZA CLA + JMP I ITWOEX /YES, HOW EXCITING + +TWDLOP, TAD I AUTO10 /NO HOW DULL, STICK IN NEXT PAIR OF + DCA XTWODS /POINTS + TAD I AUTO10 + DCA YTWODS /AND CALL THE VECTOR SEQUENCE + JMS I VECTOR + ISZ AUTO11 + JMP .+3 + + JMP I .+1 /WHEN COUNT OVERFLOWS GO ON TO +IPRODS, PRODIS /DO THE PROJECTILE THING + + TAD XTWODS /OTHERWISE SWAP ON TO THE NEXT PAIR + DCA XONEDS /OF POINTS + TAD YTWODS + DCA YONEDS + JMP TWDLOP + +COLIDE, COLLID +IONEEX, ONEEXP +ITWOEX, TWOEXP + + + +/ +/ THIS IS THE SO CALLED "VECTOR GENERATOR" WHICH DRAWS A +/ SERIES OF DOTS FROM XONEDS,YONEDS TO XTWODS,YTWODS. +/ THE COORDINATE COMPONENTS ARE DIVIDED INTO FOURTHS AND +/ FOUR DOTS DRAWN ON THE SCOPE SCREEN. NOTE THAT NO DOT +/ IS DRAWN AT XONEDS,YONEDS. THIS IS COMPENSATED FOR ELSEWHERE. +/ + +DISPLY, 0 /ENTER TO DRAW A FOUR POINT VECTOR + CLA + TAD XONEDS /FROM XONEDS,YONEDS + CIA /TO XTWODS,YTWODS + TAD XTWODS /DIVIDE COORDINATE DIFERENCES INTO + JMS DISHFT /FOURTHS + DCA DIXTEM /AND STORE INCREMENT + TAD YONEDS + CIA + TAD YTWODS + JMS DISHFT /FOURTHS + DCA DIYTEM + TAD M4 /FOR FOUR DOTS + DCA DISCNT + +DISLOP, TAD XONEDS /ADD INCREMENT TO CURRENT X AND Y + TAD DIXTEM + DCA XONEDS /NOTE THAT THIS ROUTINE DESTROYS + TAD YONEDS /XONEDS AND YONEDS + TAD DIYTEM + DCA YONEDS + TAD XONEDS +/ RTR /DIVIDE BY 8 TO FIT SCREEN SIZE +/ RAR + DXC DXL /SET X VALUE + CLA + TAD YONEDS /DO THE SAME FOR Y +/ RTR +/ RAR + DYC DYL DIS /AT LAST SOMETHING TO SEE!! + CLA + ISZ DISCNT /DONE YET? + JMP DISLOP /NOPE + JMP I DISPLY /YUP + + +DISHFT, 0 /A GENERALIZED SHIFT ROUTINE CALLED + CLL /FROM EVERYWHERE TO DIVIDE THE + SPA /AC BY FOUR WITH AN ASR RIGHT + CML IAC /NOTE THAT NEGATIVE NUMBERS ARE + RAR /ROUNDED UPWARDS (TOWARD ZERO) + CLL /TO MAKE IT COME OUT RIGHT + SPA + CML IAC /EVEN SO THERE ARE SOME ROUNDING ERRORS + RAR /SOMEWHERE. SO MUCH FOR 12 BIT MACHINES + JMP I DISHFT + + + +/ +/ HERE TO DISPLAY ALL THE PROJECTILES AND TEST FOR HITS. +/ THE PROJECTILE DISPLAY FILE IS SEARCHED FOR PROJECTILES WITH +/ NON-ZERO COUNTS AND WHEN ONE IS FOUND THE POSITION IS +/ UPDATED BY THE VELOCITY, THE POINT DISPLAYED AND TESTED FOR +/ A HIT. +/ + + *1600 + +PRODIS, CLA CLL / BEGIN DISPLAY OF THE PROJECTILES + TAD BUFST /POINT TO BEGINNING OF DISPLAY FILE + DCA BUFTMP +/ DSB 2 /SET EXTRA BRIGHT FOR SINGLE POINTS + +PROLOP, TAD I BUFTMP /PICK UP NEXT COUNT + SNA + JMP EXPIRE /THIS ONE IS DEAD - GO TO THE NEXT + IAC /INCREMENT COUNT AND REPLACE + DCA I BUFTMP + ISZ BUFTMP /BUMP POINTER TO X VELOCITY + TAD I BUFTMP + ISZ BUFTMP /THEN TO XPOSITION AND UPDATE X POSITION + TAD I BUFTMP /WITH THE VELOCITY WHICH IS CONSTANT + DCA I BUFTMP + TAD I BUFTMP + DCA PROX /AND STORE X POSITION FOR DISPLAY AND TEST + ISZ BUFTMP /NOW TO Y POSITION AND VELOCITY + TAD I BUFTMP + ISZ BUFTMP + TAD I BUFTMP /SAME LITTLE GAME + DCA I BUFTMP + TAD I BUFTMP + DCA PROY /STORE THE NEW Y VALUE + + TAD PROX /DISPLAY THE POINT WITH +/ RTR /THE SAME SHIFT AS FOR THE SHIPS +/ RAR /FOR THE SMALL SCREEN + DXC DXL + CLA + TAD PROY +/ RTR / +/ RAR + DYC DYL DIS /THERE IT IS!! + CLA + JMS I CHKOUT /TEST FOR A HIT + ISZ BUFTMP /MOVE POINTER ON AND TEST FOR END + TAD BUFTMP /OF BUFFER + TAD BUFLIM + SZA CLA + JMP PROLOP /NOT AT END - CONTINUE + + +/ +/ HERE AT THE END OF THE PROJECTILE DISPLAY. IF THE GAMOVR +/ FLAG IS SET, GO ON TO THE MESSAGE DISPLAY - VICTORY LAP +/ SECTION. OTHERWISE PICK UP THE REMAINING CLOCK COUNT +/ TO GIVE THE FANS SOMETHING TO LOOK AT, AND MOVE THE +/ ELECTRON BEAM TO A LOWER CORNER. THE COUNT DISPLAYED +/ IN THE AC IS THE NUMBER OF 100 USEC CLOCK TICKS REMAINING +/ WHEN THIS CODE IS REACHED BEFORE THE NEXT UPDATE WOULD +/ BEGIN. TURNS OUT THAT ROUGHLY 2/3 OF THE CPU IS LEFT +/ OVER SHOULD ANYONE WANT TO DO ANYTHING VERY FANCY. +/ + + +FINISH, TAD GAMOVR /IS THIS THE VICTORY LAP OR WHAT? + SZA CLA + JMP I ENDGAM /YES, GO TO PUT UP THE MESSAGE +/ TAD M400 /MOVE THE BEAM OFF SCREEN +/ DYC DYL + CLA CLL +/ DXC DXL + TAD INTCNT /PICK UP THE COUNT + CIA + JMP . + +ENDGAM, JOBLOP + + +EXPIRE, TAD BUFTMP /HERE TO ADVANCE THE BUFFER + TAD P5 /POINTER TO THE NEXT PROJECTILE + DCA BUFTMP /UNLESS THE END + TAD BUFTMP /OF THE BUFFER + TAD BUFLIM /IS REACHED + SZA CLA /IN WHICH CASE + JMP PROLOP /IT + JMP FINISH /QUITS + +BUFST, DISBUF+101 +BUFLIM, -DISBUF-175 +CHKOUT, CHECK + +RESE1, 0 /THIS IS CALLED TO SET THE POINTER + TAD MRES /(AUTO16) TO THE NEXT FREE SLOT + DCA RESCNT /FOR A PROJECTILE LAUNCH. 12 POSSIBLE + +RESLOP, TAD RESPNT /MOVE THE POINTER TO THE NEXT SLOT + TAD P5 + DCA RESPNT + TAD RESPNT /RESTE IF AT END OF BUFFER + TAD BUFLIM + SZA CLA + JMP RESCON + TAD BUFST + DCA RESPNT + +RESCON, TAD I RESPNT /FIND A HOLE YET? + SNA CLA + JMP RESFND /YES, SET UP AUTO16 + ISZ RESCNT /NO COUNT + JMP RESLOP /AND TRY AGAIN + HLT /NO HOLES AT ALL? + +RESFND, CMA /BACK THE POINTER FOR AUTO INDEXING + TAD RESPNT + DCA AUTO16 + JMP I RESE1 + +MRES, -14 +RESCNT, 0 +RESPNT, 0 + +SETBUF, 0 + CMA /THIS ROUTINE IS CALLED FROM THE + TAD BUFST /STARTING SEQUENCE TO INITIALIZE ALL + DCA AUTO16 /THE BUFFER POINTERS AND SO ON + TAD BUFST + DCA BUFTMP + TAD BUFST + DCA RESPNT + TAD BUFST + DCA SETPNT +SETLOP, DCA I SETPNT + ISZ SETPNT + TAD SETPNT + TAD BUFLIM + SZA CLA + JMP SETLOP + JMP I SETBUF + +SETPNT, 0 + + + +/ +/ THIS HERE NOW THING CHECKS THE COORDINATES OF THE MOST RECENTLY +/ DISPLAYED PROJECTILE AGAINST THOSE OF THE SHIPS ON THE SCREEN. +/ IF WITH A COLLISION LIMIT A HIT IS RECORDED AND THE LIFE +/ COUNT OF THE PROJECTILE ZEROED TO REMOVE IT. A HIT SHIP +/ IS SUITABLY FLAGGED +/ + + *2000 + +CHECK, 0 /HERE TO TEST FOR A PROJECTILE HIT + TAD ONEFLG /CANT HIT SOMETHING IN HYPERSPACE + SZA CLA + JMP CHECK2 + TAD ONEOUT /OR SOMETHING THAT'S BEEN HIT + SZA CLA + JMP CHECK2 + + TAD PROX /CHECK X COORDINATES OF SHIP ONE + CIA /AND PROJECTILE + TAD ONEPEX /THIS SORT OF THING IS WHY THE + SPA /COORDINATES HAVE TO BE MAINTAINED TO 12 + CIA /BITS + TAD LIMIT /CLOSE ENOUGH? + SMA CLA + JMP CHECK2 /IF X ISN' CLOSE ENOUGH THEN NO HIT + TAD PROY /X WAS CLOSE ENOUGH, HOW ABOUT Y? + CIA + TAD ONEPEY + SPA + CIA + TAD LIMIT + SMA CLA + JMP CHECK2 /NO HIT + + TAD MEXP /DEPOSIT EXPLOSION COUNT IN ONEOUT + DCA ONEOUT /ALL THAT IS NECESSARY + JMS CUTOUT /REMOVE PROJECTILE + + + + +CHECK2, TAD TWOFLG /NO HIT ON NUMBER ONE, TRY NUMBER TWO + SZA CLA + JMP I CHECK /BUT NOT IF IN HYPERSPACE + TAD TWOOUT /OR IF ALREADY HIT + SZA CLA + JMP I CHECK + + TAD PROX /CHECK X'S FIRST + CIA + TAD TWOPEX + SPA /GET ABSOLUTE VALUE OF DIFFERENCE + CIA + TAD LIMIT /AND TEST MAGNITUDE AGAINST PROXIMITY + SMA CLA /LIMIT + JMP I CHECK /NOWHERE NEAR CLOSE + + TAD PROY /NYAH, NYAH + CIA /TRY THE Y'S + TAD TWOPEY + SPA + CIA /ABSOLUTE VALUE OF DIFFERENCE + TAD LIMIT + SMA CLA + JMP I CHECK /CLEAN MISS! + + TAD MEXP /HIT ON TWO - END EVERYTHING BY SETTING + DCA TWOOUT /TWOOUT TO NON-ZERO EXPLOSION COUNT + JMS CUTOUT + JMP I CHECK /EXIT AFTER DESTOYING PROJECTILE + +LIMIT, -120 /PROXIMITY LIMIT FOR WHAT CONSTITUTES A HIT + +CUTOUT, 0 /THIS ROUTINE ZEROES OUT THE MOST RECENTLY + TAD M4 /DISPLAYED PROJECTILE BY ZEROEING THE + TAD BUFTMP /COUNT + DCA CUTPNT + DCA I CUTPNT + JMP I CUTOUT + +CUTPNT, 0 + + + +/ +/ THIS ROUTINE IS CALLED TO TEST FOR A COLLISION BETWEEN THE +/ TWO SHIPS. THE COORDINATES OF BOTH ARE COMPARED +/ AND IFF SUFFICIENTLY CLOSE BOTH ARE DESTROYED BY SETTING +/ THEIR EXPLOSION COUNTS NON-ZERO. +/ + + +COLLID, 0 /HERE TO TEST FOR COLLISION + TAD ONEFLG /NO TEST IF EITHER SHIP IS IN + SZA CLA /HYPERSPACE OR EXPLODING + JMP I COLLID + TAD TWOFLG + SZA CLA + JMP I COLLID + TAD ONEOUT + SZA CLA + JMP I COLLID + TAD TWOOUT + SZA CLA + JMP I COLLID + + TAD ONEPEX /BOTH SHIPS AVAILABLE FOR COLLISION + CIA /CHECK X COORDINATES FIRST + TAD TWOPEX + SPA /GET ABSOLUTE VALUE OF DIFFERENCE + CIA + TAD COLLIM /CLOSE ENOUGH? + SMA CLA + JMP I COLLID /NOPE, FORGET IT + + TAD ONEPEY /YES, NOW TRY THE Y COORDINATES + CIA + TAD TWOPEY + SPA + CIA /GET MAGNITUDE ONLY + TAD COLLIM + SMA CLA /CLOSE ENOUGH? + JMP I COLLID + TAD MEXP /YES, SET BOTH EXPLOSION COUNTS + DCA ONEOUT + TAD MEXP + DCA TWOOUT + JMP I COLLID + +COLLIM, -300 + + +/ +/ THIS ROUTINE IS CALLED TO SET ONE OF THE TWO SHIPS INTO +/ HYPERSPACE. ON ENTRY THE AC=-1 FOR SHIP #1, 0 FOR SHIP +/ NUMBER 2. THE LOCATION CLOCK IS USED FOR A RANDOM +/ ADDRESS POINTER FROM WHICH WILL BE DRAWN THE +/ VARIOUS PARAMETERS FOR REENTRY. +/ + + *2200 + +HYPSET, DCA RTNFLG /HERE WITH AC=-1 OR 0 + TAD RTNFLG /SET UP LIST POINTER + SZA CLA + TAD ONEDIF /TO APPROPRIATE SHIP FILE + TAD TWOLST + DCA AUTO15 + + CLCA /SET UP "RANDOM NUMBER GENERATOR" + /USE CLOCK COUNTER FOR THAT PURPOSE + DCA AUTO17 + TAD I AUTO17 /PICK UP FIRST THE AMOUNT OF TIME + AND TIMOUT /OUT OF NOMAL SPACE LIMITED TO -777 + CIA /UPDATE CYCLES ( ABOUT 15 SECONDS) + DCA I AUTO15 /AND STORE IN ONEOUT OR TWO OUT + + TAD I AUTO17 /THE NEXT RANDOM NUMBER BECOMES THE + JMS I THEADJ /ANGLE OR ORIENTATION ON REENTRY + DCA I AUTO15 + TAD I AUTO17 /AND THE NEXT BECOMES THE X VELOCITY + JMS VEESET /COMPONENT + DCA I AUTO15 + TAD I AUTO17 /AND THEN THE Y COMPONENT + JMS VEESET + DCA I AUTO15 + TAD I AUTO17 + DCA I AUTO15 + + TAD I AUTO17 + DCA I AUTO15 + + TAD I AUTO17 /FINALLY SEE IF RETURN WILL BE SUCCESSFLY + AND TIMOUT + TAD MHYP /ABOUT 3/4 CHANCE + SMA CLA + JMP HYPRET /OK + TAD RTNFLG /THIS IS THE ONE TIME IN FOUR. SET + SZA CLA /UP FOR EXPLOSION ON REENTRY + TAD ONEDIF + TAD OUTLOC + DCA VEESET + TAD MEXP + DCA I VEESET + +HYPRET, ISZ RTNFLG + JMP I TWORTN + JMP I ONERTN + +TIMOUT, 777 +ONEDIF, ONEFLG-TWOFLG +TWOLST, TWOFLG-1 +RTNFLG, 0 +ONERTN, TWOUP +TWORTN, ONESET +OUTLOC, TWOOUT +MHYP, -200 + + + + +VEESET, 0 /HERE TO LIMIT VELOCITY COMPONENTS + CLL + SPA /GET MAGNITUDE + CML + AND HM177 /LIMIT TO 177 + SZL CLL + CIA + JMP I VEESET /AND EXIT + +HM177, 177 + +ONEEXP, CLA CLL /HERE TO DISPLAY SHIP NUMBER ONE AS + TAD ONETHE /AN EXPLOSION + TAD INCONE /FIRST ROTATE IT BY A GOOD DOLLOP + DCA ONETHE + JMS I IXPDIS /THEN CALL THE EXPLOSION GENERATOR + ISZ ONEOUT /DONE WITH THE EXPLOSION? + JMP I NOWTWO /NO, NORMAL RETURN + + IAC /YES, SET INTO PSEUDO HYPER SPACE + DCA ONEFLG + IAC /DISABLE RETURN FROM HYPER SPACE + DCA ONEFIN + + TAD TWOFIN /IS NUMBER TWO STILL AROUND? + SNA CLA + JMP I NOWTWO /YES, RETURN + JMP I TIEUP /NO, TIE BALL GAME + + + +TWOEXP, CLA CLL /HERE TO DISPLAY SHIP NUMBER TWO + TAD TWOTHE /AS AN EXPLOSION. BASH IT AROUND + TAD INCTWO + DCA TWOTHE + JMS I IXPDIS /THEN DISPLAY IT + ISZ TWOOUT /DONE WITH EXPLOSION? + JMP I NOWPRO /NO, NORMAL RETURN + + IAC /YES, SEND INTO PSEUDO HYPER SPACE + DCA TWOFLG + IAC /DISABLE NORMAL RETURN FROM HYPERSPACE + DCA TWOFIN + /CHECK NUMBER ONE + TAD ONEFIN + SZA CLA /STILL ALIVE AND WELL? + JMP I TIEUP /NO, TIE GAME + JMP I NOWPRO /YES, CONTINUE ON +NOWTWO, TWODIS +NOWPRO, PRODIS +TIEUP, NOWIN +IXPDIS, EXPDIS +INCONE, 55 +INCTWO, 55 + + + +/ +/ HERE TO DISPLAY THE FIGURE POINTED TO BY AUTO10 AS +/ AN EXPLOSION. THIS WORKS THE SAME WAY AS THE NORMAL +/ DISPLAY ROUTINE EXCEPT THAT THE COORDINATE INCREMENTS +/ ARE INVERTED TURNING THE FIGURE INSIDE OUT FOR S +/ A SORT OF CLOBBY EXPLOSION. +/ + + *2400 + +EXPDIS, 0 /HERE TO DISPLAY A FIGURE INSIDE OUT + TAD I AUTO10 /WITH THE POINTERS AND COUNTS ALREADY + DCA XTWODS /SET UP BY FILDIS OR TWODIS + TAD I AUTO10 /STICK NEXT TWO POINTS INTO LINE + DCA YTWODS + + TAD XTWODS + CIA /CALCULATE INCREMENT THE WRONG WAY + TAD XONEDS + DCA DIXTEM /AND STORE + TAD YTWODS + CIA + TAD YONEDS + DCA DIYTEM /SAME FOR Y + + TAD M4 /4 DOTS IN THE VECTOR" + DCA DISCNT /COULD HAVE CALLED THE OTHER + /VECTOR GENERATOR I SUPPOSE +EXPLOP, TAD XONEDS + TAD DIXTEM /ADD X AND Y INCREMENTS TO THE RUNNING + DCA XONEDS /TOTALS AND DISPLAY THE RUNNING + TAD YONEDS /TOTALS NORMAL SIZE + TAD DIYTEM + DCA YONEDS + + TAD XONEDS +/ RTR /COULD MAKE TWICE AS BIG BY NOP-ING +/ RAR /THE RAR'S BUT THE SCREEN IS SMALL ENOUGH + JMS I IVCLDX /AS IT IS + CLA + TAD YONEDS +/ RTR +/ RAR + JMS I IVCLDY +/ DISD +/ JMP .-1 +/ DIXY + + CLA + ISZ DISCNT /DONE 4 DOTS? + JMP EXPLOP /NO + + ISZ AUTO11 /DONE ALL VECTORS IN THE FILE? + SKP + JMP I EXPDIS /YES, EXIT + + TAD XTWODS /NO SWAP TO NEXT PAIR OF POINTS + DCA XONEDS + TAD YTWODS + DCA YONEDS + JMP EXPDIS+1 + + + + +/ +/ VEELIM IS THE SCALING ROUTINE FOR VELOCITY COMPONENTS. +/ THE COMPONENTS ARE SCALED TO REMAIN IN THE RANGE 140 +/ TO -140. THIS IS NECESSARY TO AVOID ASTRONOMICAL SPPED +/ BUILDUP ON THE SMALL SCREEN. UNFORTUNATELY THE X AND Y +/ COMPONENTS ARE SCALED SEPARATELY WHICH GIVES SLIGHT BUT +/ NOTICABLE DISTORTIONS IN DIAGONAL FLIGHT PATHS. IN THE +/ NORMAL HEAT OF THE BATTLE NO ONE WILL REALLY NOTICE. +/ + + +VEELIM, 0 /ENTER TO SCALE VELOCITY HELD IN + DCA VEEHLD /AC + TAD VEEHLD + SMA /BRANCH FOR POSITIVE OR NEGATIV + JMP VEEPOS + TAD VEEMAX + SMA CLA /GREATER THAN MAXIMUM POSITIVE? + JMP VEECLR /NO + TAD VEEMIN /I MEAN MAXIMUM NEGATIVE - YES SET + JMP I VEELIM /TO MAX NEGATIV + +VEEPOS, TAD VEEMIN /GREATER THAN MAX? + SPA CLA + JMP VEECLR /NO + TAD VEEMAX /YES SET TO MAX + JMP I VEELIM + +VEECLR, TAD VEEHLD /IT WAS IN RANGE ALL ALONG + JMP I VEELIM + +VEEHLD, 0 +VEEMIN, -140 +VEEMAX, 140 + +THEAJI, 0 /HERE TO ADJUST THE ANGLE TO A RANGE + SMA /0-550 OR 0-360 DEGREES. THIS IS + JMP .+3 /NECESSARY TO INSURE THAT PUSHDOWN OVERFLOW + TAD P550 /WILL NOT HAPPEN IN THE SINE AND COSINE + JMP .-3 /ROUTINES. THIS SIMPLY TAKES THE AC + TAD M550 /MODULO 360 AND EXITS + SMA + JMP .-2 + TAD P550 /FOLLOW IT THROUGH AND SEE IF IT DOESN'T + JMP I THEAJI + + + +/ +/ ONE OF THESE ROUTINE IS ENTERED WHEN A WINNER IS DECLARED. +/ THE ADDRESS OF THE VICTORY MESSAGE IS PLACED IN MESS AND +/ THE GAMOVR FLAG SET TO CAUSE A BRANCH TO JOBLOP WHEN THE +/ DISPLAY CYCLE IS COMPLETED. THE ROUTINE WILL THEN DISPLAY +/ THE APPROPRIATE MESSAGE OVER THE REMAINING SHIPS IF +/ ANY UNTIL THE KEYBOARD IS MOLESTED OR THE CLOCK RUNS OUT +/ AND THE NEXT DISPLAY UPDATE CYCLE IS SET. AT ANY RATE THE +/ PROGRAM WILL REACH HERE ONLY WHEN SOMEONE HAS BITTEN THE +/ INTERGALACTIC DUST. +/ + + +ONEWIN, 0 /THIS IS CALLED WHEN TWOFIN IS SET + TAD MES1 /AND ONE FIN IS NOT. SET ONE TO VICTOR + DCA MESS /AND SET GAMOVR FLAG + IAC + DCA GAMOVR + JMP I ONEWIN /THEN RETURN TO UPDATE CYCLE + +TWOWIN, 0 /THIS IS CALLED WHEN ONEFIN IS SET + TAD MES2 /AND TWO FIN IS NOT + DCA MESS /SET ALSO GAMOVR + IAC + DCA GAMOVR + JMP I TWOWIN + +NOWIN, TAD MES4 /GET HERE WHEN BOTH ONEFIN AND TWOFIN + DCA MESS /ARE SET . + IAC + DCA GAMOVR /NOBODY EVER REALLY WINDS + /UP THE WINNER IN THESE THINGS +JOBLOP, +/ DSB 1 /THIS IS ENTERED FROM FINISH WHEN + TAD MES0 /GAMOVR IS SET AND SERVES TO DISPLAY + JMS I MESOUT /THE VICTORY MESSAGE ON THE SCREEN + TAD MESS /USING THE CHARACTER GENERATOR SOMEWHAT + JMS I MESOUT /FURTHER ON UNTIL THE GAME IS RESTARTED + TAD MES5 /OR UNTIL THE INTERRUPT COUNT OVERFLOWS + JMS I MESOUT /AND THE UPDATE CYCLE IS RESTARTED + TAD MES3 + JMS I MESOUT +FINITO, JMP JOBLOP + +MES0, MESS0 +MES1, MESS1 +MES2, MESS2 +MES3, MESS3 +MES4, MESS4 +MES5, MESS5 +MESS, 0 + + + +/ +/ THE FOLLOWING ARE THE SINE AND COSINE ROUTINES CUSTOMIZED +/ FOR THIS PROGRAM FROM ANOTHER I WORKED ON. CALL EITHER +/ SINE OR COSINE WITH ANGLE IN DEGREES IN AC. THE ARGUEMENT +/ IS REDUCED THROUGH RECURSION UNTIL BETWEEN 0-89 DEGREES +/ AND THEN A TABLE LOOKUP DONE TO OBTAIN THE VALUE. IT TAKES +/ UP A FAIR AMOUNT OF SPACE BUT IT WORKS JUST FASTER +/ THAN SHEEP. THE COSINE CALL JUST TRANSFORMS THE ARGUEMENT +/ THROUGH SOME TRIGONOMETRIC GARBAGE AND CALLS THE SINE +/ ROUTINE. NOTE THAT CALLING EITHER ROUTINE WITH TOO +/ LARGE AN ARGUEMENT WILL CAUSE PUSHDOWN OVERFLOW AND THEN +/ ALL HELL WILL BREAK LOOSE. THE ORIGINAL ROUTINE FROM WHICH +/ THIS WAS STOLEN HAD FULL WORD PRECISION. +/ + + *6400 + +SINEIN, 0 /I REALLY CANT BRING MYSELF TO COMMENT + DCA SINARG /THIS. IT'S VERY STRAIGHFORWARD + TAD SINEIN + DCA I SINPSH + ISZ SINPSH + TAD SINARG + SZA + JMP SINNG2 + +SINPOP, CLA CLL CMA + TAD SINPSH + DCA SINPSH + TAD I SINPSH + DCA SINEIN + TAD SINARG + JMP I SINEIN + +SINNG2, SMA + JMP SINPOS + CIA + JMS SINEIN + +SINNEG, CIA + DCA SINARG + JMP SINPOP + +SINPOS, TAD M264 + SPA + JMP .+2 + JMP SINNEG-1 + TAD P132 + SPA + JMP SINELK + SZA CLA + JMP .+3 + TAD P37 + JMP SINNEG+1 + + TAD SINARG + TAD M264 + JMP SINNEG-1 + +SINELK, TAD P132 + TAD SINTAB + DCA SINEIN + TAD I SINEIN + DCA SINARG + JMP SINPOP + + + + +SINARG, 0 +SINPSH, SINLST +SINLST, 0 + 0 + 0 + 0 + 0 + 0 + +SINTAB, SINES-1 + +COSINI, 0 + CIA + TAD P132 + JMS SINEIN + JMP I COSINI + + + + +SINES, 00 /1 + 01 /2 + 01 /3 + 02 /4 + 02 /5 + 03 /6 + 03 /7 + 04 /8 + 05 /9 + 05 /10 + 06 /11 + 06 /12 + 07 /13 + 07 /14 + 10 /15 + 10 /16 + 11 /17 + 11 /18 + 12 /19 + 12 /20 + 13 /21 + 13 /22 + 14 /23 + 15 /24 + 15 /25 + 16 /26 + 16 /27 + 17 /28 + 17 /29 + 20 /30 + 20 /31 + 20 /32 + 21 /33 + 21 /34 + 22 /35 + 22 /36 + 23 /37 + 23 /38 + 24 /39 + 24 /40 + 25 /41 + 25 /42 + 25 /43 + 26 /44 + 26 /45 + 27 /46 + 27 /47 + 27 /48 + 30 /49 + 30 /50 + 30 /51 + 31 /52 + 31 /53 + 31 /54 + 32 /55 + 32 /56 + 32 /57 + 33 /58 + 33 /59 + 33 /60 + 33 /61 + 34 /62 + 34 /63 + 34 /64 + 35 /65 + 35 /66 + 35 /67 + 35 /68 + 35 /69 + 36 /70 + 36 /71 + 36 /72 + 36 /73 + 36 /74 + 36 /75 + 37 /76 + 37 /77 + 37 /78 + 37 /79 + 37 /80 + 37 /81 + 37 /82 + 37 /83 + 37 /84 + 37 /85 + 37 /86 + 37 /87 + 37 /88 + 37 /89 + + + + +MULTI, 0 /THIS IS STANDARD SINGLE PRECISION + CLL /MULTIPLY ROUTINE WHICH WAS ONCE + SPA /USED. I'VE LEFT IT IN SINCE + CMA CML IAC /THERE IS LOTS OF CORE LEFT OVER AND + DCA MULMP1 /MAYBLE SOMEDAY I'LL NEED IT TO PUT + DCA MULMP5 /IN A SUN OR SOMETHING. THIS IS THE + TAD I MULTI /STANDARD DEC SUBROUTINE WITH DIFFERENT + SNA /LABELS + JMP MULPSN+2 + SPA + CMA CML IAC + DCA MULMP2 + TAD MULTHR + DCA MULMP3 + +MULMP4, TAD MULMP1 + RAR + DCA MULMP1 + TAD MULMP5 + SZL + TAD MULMP2 + CLL RAR + DCA MULMP5 + ISZ MULMP3 + JMP MULMP4 + TAD MULMP1 + RAR +MULPSN, SZL + JMP MULCMP + DCA MULMP1 + TAD MULMP5 +MULMPZ, ISZ MULTI + JMP I MULTI + +MULCMP, CMA CLL IAC + DCA MULMP1 + TAD MULMP5 + CMA + SZL + IAC + JMP MULMPZ + +MULTHR, 7764 +MULMP1, 0 +MULMP5, 0 +MULMP2, 0 +MULMP3, 0 + + + +/ +/ SHIFTR DIVIDES THE AC BY TWO WHETHER POSITIVE OR NEGATIVE +/ AND IS CALLED FROM VARIOUS PLACES. NOT ENTIRELY MYSTERIOUS +/ + + +SHIFTR, 0 + CLL + SPA + CML IAC + RAR + JMP I SHIFTR + + +/ +/ POSCAL IS CALLED TO CALCULATE THE COORDINATE INCREMENTS +/ NECESSARY TO PRODUCE THE SHIP FIGURES. RATHER THAN DOING +/ A LOT OF EXPENSIVE MATH THIS DOES A QUICK PRODUCTION +/ OF 1, 2, AND 3 TIMES THE SIN AND COSINE VALUES FOUND +/ IN CALSIN AND CALCOS LEAVING THEM IN THE TABLE FOR +/ ONESET AND TWOSET. IF THE SCOPE WERE ANY BETTER +/ THIS PROBABLY WOULDN'T BE NEAR GOOD ENOUGH BUT.... +/ + +POSCAL, 0 + TAD CALSIN + + DCA T10SIN + TAD T10SIN + CLL RAL + DCA T20SIN + TAD T10SIN + TAD T20SIN + DCA T30SIN + + TAD CALCOS + + DCA T10COS + TAD T10COS + CLL RAL + DCA T20COS + TAD T10COS + TAD T20COS + DCA T30COS + JMP I POSCAL + +/**************************************************************** +/ VC8-E ROUTINES + + +VDIV, 0 + SMA / SKIP IF MINUS + JMP VPLUS +VMINUS, CMA IAC / COMPLEMENT + RTR / DIVIDE BY FOUR + AND P1777 / DELETE UPPER TWO BITS + CMA IAC + JMP I VDIV / RETURN + +VPLUS, RTR + AND P1777 + JMP I VDIV + +/***** + +VCLDX, 0 / INTENSIFY LAST POINT AND LOAD NEW X VALUE + JMS VDIV / DIVIDE BY FOUR + DISD / DISPLAY READY? + JMP .-1 / WAIT. + DIXY / INTENSIFY + DILX / LOAD NEW X VALUE + JMP I VCLDX / RETURN + +VCLDY, 0 + JMS VDIV / DIVIDE BY FOUR + DILY / LOAD NEW Y VALUE + JMP I VCLDY + +P1777, 1777 + + +/**************************************************************** + + + *7000 + +/GENERAL PURPOSE SYMBOL GENERATOR +/ +CHARS, 0 /ENTRY TO PLOT CHARACTER STRING + DCA ADDR /STORE STRING ADDRESS + TAD I ADDR /FETCH DOUBLE CHARACTER + RTR /SHIFT + RTR / FOR FIRST + RTR / CHARACTER + JMS CHAR /PLOT CHARACTER + SKP /NORMAL RETURN -- SKIP + JMP I CHARS /TERMINATION RETURN -- EXIT + TAD I ADDR /RECALL DOUBLE CHARACTER + ISZ ADDR /ADVANCE STRING ADDRESS + JMS CHAR /PLOT CHARACTER + JMP CHARS+2 /NORMAL RETURN -- REPEAT + JMP I CHARS /TERMINATION RETURN -- EXIT +/ +CHAR, 0 /ENTRY TO PLOT SINGLE CHARACTER + AND K77 /MASK OUT UPPER BITS + CLL RAL /MULTIPLY CODE BY TWO + TAD TABLE /ADD TABLE BASE ADDRESS + DCA POINT /CONSTRUCT POINTER TO 24-BIT CODE + CMA /INITIALIZE COUNTER FOR + DCA COUNT2 / TWO PLOT WORDS + TAD I POINT /FETCH FIRST PLOT WORD + ISZ POINT /INCREMENT POINTER FOR NEXT ONE + SNA /SKIP IF NOT SPECIAL CHARACTER + JMP SPCHAR /ELSE GO PROCESS IT + DCA CURPLT /SAVE CURRENT PLOT BITS +XPLOT, TAD KM6 /INITIALIZE 6-BIT + DCA COUNT6 / COUNTER + TAD YVALUE /RESET Y TEMPORARY + DCA YTEMP / VALUE FOR CHARACTER + TAD XVALUE /OUTPUT CURRENT + DILX /X-VALUE TO CRT + TAD XINCR /INCREMENT + DCA XVALUE / ABSCISSA +YPLOT, TAD CURPLT /RECALL CURRENT PLOT BITS + CLL RAL /GET NEXT BIT + DCA CURPLT /SAVE REMAINING PLOT BITS + SNL /SKIP IF POINT TO PLOT + JMP CNTINU /ELSE JUMP AHEAD + TAD YTEMP /OUTPUT CURRENT + DILY /Y-VALUE TO CRT + DISD / READY TO DISPLAY THE POINT? + JMP .-1 / NO, WE'LL WAIT. + DIXY / SHOOT THE BEAM! + + CLA CLL /CLEAR AC + TAD CURPLT /RECALL CURRENT PLOT BITS + SNA CLA /SKIP IF POINTS REMAINING + JMP WRDEND /ELSE WORD IS FINISHED +CNTINU, TAD YTEMP /INCREMENT TEMPORARY + TAD YINCR / Y-VALUE FOR NEXT + DCA YTEMP / CHARACTER STEP + ISZ COUNT6 /SKIP IF 6 BITS PLOTTED + JMP YPLOT /ELSE PLOT NEXT ONE + JMP XPLOT /GO UPDATE X-VALUE +WRDEND, ISZ COUNT2 /SKIP IF ANOTHER BIT WORD + JMP EXIT /ELSE EXIT + TAD I POINT /FETCH SECOND BIT WORD + SZA /SKIP IF NO PLOT POINTS + JMP XPLOT-1 /ELSE GO PLOT THEM +EXIT, TAD XVALUE /INCREMENT ABSCISSA + TAD XINCR / FOR SPACE BETWEEN + DCA XVALUE / SYMBOLS + JMP I CHAR /EXIT FROM CHAR +/ +SPCHAR, TAD I POINT /FETCH TRANSFER VECTOR + DCA POINT /STORE AS INDIRECT ADDRESS + + JMP I POINT /GO TO APPROPRIATE ROUTINE +SPACE, TAD XINCR /FETCH BASIC ABSCISSA INCREMENT + CLL RTL /MULTIPLY BY FOUR AND + JMP EXIT / GO CREATE SPACE +CRLF, TAD INITX /"CARRIAGE RETURN" RESETS X + DCA XVALUE / TO ITS ORIGINAL VALUE +LF, TAD YINCR /"LINE FEED" + CLL RTL / DECREMENTS THE + CLL CIA RAL / Y-VALUE BY + TAD YVALUE / EIGHT SCALE + DCA YVALUE / STEPS + JMP I CHAR /EXIT FROM CHAR +RESET, TAD INITX /"RESET" RESETS + DCA XVALUE / X AND Y TO + TAD INITY / THEIR ORIGINAL + JMP RESET-2 / VALUES +TERM, ISZ CHAR /TERMINATE CODE CAUSES + JMP I CHAR / EXIT TO P+2 +/ +INITX, 0 /INITIAL X-VALUE +INITY, 327 /INITIAL Y-VALUE +XVALUE, 0 /CURRENT X-VALUE +YVALUE, 0 /CURRENT Y-VALUE +XINCR, 6 /BASIC X INCREMENT VALUE +YINCR, 10 /BASIC Y INCREMENT VALUE +YTEMP, 0 /TEMPORARY Y-VALUE +CURPLT, 0 /CURRENT PLOT BITS +ADDR, 0 /CURRENT STRING ADDRESS +COUNT6, 0 /6-BIT COUNTER +COUNT2, 0 /2-WORD COUNTER +KM6, -6 /CONSTANT FOR COUNT6 +K77, 77 /CHARACTER CODE MASK +POINT, 0 /TABLE POINTER +/ + + +/ +TABLE, .+1 /TABLE BASE ADDRESS + 0 /SPECIAL CHARACTER (00) + TERM /TERMINATION CODE + 7611 / A + 1176 + 7745 / B + 4532 + 3641 / C + 4122 + 7741 / D + 4136 + 7745 / E + 4541 + 7705 / F + 501 + 7741 / G + 5173 + 7710 / H + 1077 + 4177 / I + 4100 + 2040 / J + 4037 + 7714 / K + 2241 + 7740 / L + 4040 + 7702 / M + 277 + 7706 / N + 3077 + 7741 / O + 4177 + 7705 / P + 502 + 3641 / Q + 6176 + 7715 / R + 2542 + 2245 / S + 5122 + 177 / T + 100 + 3740 / U + 4037 + 1720 / V + 4037 + 7730 / W + 3077 + 4136 / X + 3641 + 374 / Y + 7403 + 6151 / Z + 4543 + 7741 / [ + 0 + 204 / \ + 1020 + 4177 / ] + 0 + 436 / ^ + 400 + 0 /SPECIAL CHARACTER (37) + RESET /RESET + 0 /SPECIAL CHARACTER (40) + SPACE /SPACE + 5600 / ! + 0 + 303 / " + 0 + 1477 / # + 7714 + 2277 / MARKER + 2200 + 2313 / % + 6462 + 7777 / BLOCK + 7777 + 300 / ' + 0 + 3641 / ( + 0 + 4136 / ) + 0 + 4040 / UNDERSCORE (52) + 4040 + 1034 / + + 1000 + 0 /SPECIAL CHARACTER (54) + LF /LINE FEED + 1010 / - + 1000 + 4000 / . + 0 + 2010 / / + 402 + 3641 / 0 + 4136 + 4442 / 1 + 7740 + 4261 / 2 + 5146 + 2145 / 3 + 5321 + 1710 / 4 + 1077 + 4745 / 5 + 4531 + 7750 / 6 + 5070 + 6111 / 7 + 503 + 2255 / 8 + 5522 + 705 / 9 + 577 + 2400 / : + 0 + 0 /SPECIAL CHARACTER (73) + CRLF /CARRIAGE RETURN; LINE FEED + 1024 / > + 4200 + 1212 / = + 1200 + 4224 / < + 1000 + 255 / ? + 300 + + + +/ +/ HERE FOLLOW THE PACKED ASCII TEXTS FOR THE VARIOUS +/ VICTORY MESSAGES. PERSONS ADVENTEROUS TO FIND THIS MIGH CARE +/ TO TOGGLE IN SOME CUTE LITTLE MESSAGES OF THEIR OWN. +/ + +MESS0, 3773 +MESS5, 7340 + 4040 + 4040 + 4000 + +MESS1, 1716 + 0500 + +MESS2, 2427 + 1700 + +MESS3, 2711 + 1623 + 4100 + +MESS4, 1617 + 0217 + 0431 + 0000 + + + + *7400 + +DISBUF, 0 + +/ THE DISPLAY BUFFERS BEGIN HERE AND EXTEND UP SOMEWHERE TO +/ AROUND 7575 OR SO. +/ +/ +/ +/ +/ + + + + + $ + +//////////////////////////// +/ +/ THIS IS THE END +/ +/////////////////////////// + + + + + + diff --git a/sw/spacewar/klemens/space.pa b/sw/spacewar/klemens/space.pa new file mode 100644 index 0000000..4a6966b --- /dev/null +++ b/sw/spacewar/klemens/space.pa @@ -0,0 +1,2527 @@ +/ SPACE WAR +/ +/ INTERPLANETARY DEATH AND DESTRUCTION ON YOUR +/ LAB-8 +/ +/ +/ KK, 21-APR-2005 +/ CHANGED THE PROGRAM FOR MY LAB-8/E +/ IT HAS: +/ REAL-TIME PROGRAMMABLE CLOCK (DK8-EP) +/ BUFFERED DIGITAL I/O (DR8-EA) +/ POINT-PLOT DISPLAY CONTROL (VC8-E) +/ +/ MAIN DIFFERENCES TO LAB-8: +/ * CLOCK IS PROGRAMMABLE. INTERRUPT-RATE HAS TO BE TO PROGRAMMED +/ TO 10KC/S. +/ * DIGITAL I/O HAS 12BIT INPUT. +/ * POINT-PLOT DISPLAY HAS 10BIT RESOLUTION, BUT CANNOT INTENSIFY. +/ ALSO INTENSITY-PULSE (DIXY) DOESN'T WORK SATISFACTORING. +/ SO I ADDED STARS IN THE SKY, TO EMPLOY THE ELECTRON-BEAM WHILE +/ WAITING FOR NEXT INTERRUPT. +/ STARS COME FROM A STAR-MAP IN THE 20-VOL. "DTV-LEXIKON" FROM +/ 1972. ISBN 3-423-03067-4. THE MAP IS IN VOL. 17 ON PAGE 283. +/ I MADE A PHOTOCOPY FROM THIS BOOK IN SCALE 1:2 FOR BETTER +/ ACCURACY. TO AVOID NEGATIVE COORDINATES, I LAID 0:0 IN THE +/ LOWER LEFT CORNER OF THE MAP. +/ +/ I ALSO ADDED A SCORE COUNTER. +/ +/ +/ +/ EVAN SUITS +/ +/ THIS VERSION WORKS OFF EITHER THE BLUE RIBBON CONNECTOR OR THE +/ SR. WHEN THE PROGRAM IS STARTED (AT 0200) OR RESTARTED THE +/ SR WILL BE TESTED AND IF =0000 WILL BE USED FOR THE COMMAND +/ INPUT. OTHERWISE, THE BLUE RIBBON CONNECTOR (AX08 * C0-C7 * +/ XR OPTION ONLY) CONTINGENCY INPUTS WILL BE USED. +/ +/ WHEN THE PROGRAM IS STARTED THE TWO SHIPS SHOULD +/ APPEAR ON THE SCREEN WITH SHIP 'ONE' ON THE LEFT, SHIP +/ 'TWO' ON THE RIGHT. +/ +/ THE COMMAND WORD BIT ASSIGNMENTS ARE: +/ +/ SR BIT: C: FUNCTION: +/ +/ 0 0 SHIP ONE ROTATES LEFT +/ +/ 1 1 SHIP ONE ROTATES RIGHT +/ +/ 2 2 SHIP ONE ACCELERATES +/ +/ 3 3 SHIP ONE FIRES +/ +/ +/ +/ 8 4 SHIP TWO ROTATES LEFT +/ +/ 9 5 SHIP TWO ROTATES RIGHT +/ +/ 10 6 SHIP TWO ACCELERATES +/ +/ 11 7 SHIP TWO FIRES +/ +/ +/ +/ NOTE THAT TURNING RIGHT AND LEFT SIMULTANEOUSLY THROWS +/ THE SHIP INTO HYPERSPACE. IN THE CURRENT VERSION THE ODDS +/ ARE IN FAVOR OF YOUR MAKING IT BACK SAFELY. THE GAME IS OVER +/ WHEN ONE OR BOTH OF THE SHIPS HAVE BEEN DESTROYED AND THE +/ WINNER (IF ANY) IS IN NORMAL SPACE. WHEN THE WINNER +/ HAS BEEN ANNOUNCED, HIT ANY TTY KEY TO RESTART. +/ + + + +/ SYMBOL DEFINITIONS FOR PAL8-PAL10 + +DXC=6301 /CLEAR X REGISTER +DYC=6311 /CLEAR Y REGISTER +DYL=6312 /LOAD Y FROM AC +DXL=6302 /LOAD X FROM AC +DIS=6304 /INTENSIFY POINT +SKXK=6321 /SKIP ON CRYSTAL CLK FLAG +DSB=6324 /SET BRIGHTNESS (BRIGHT) +XRIN=6331 /OR EXTERNAL SENSE REGISTER IN AC +XRCL=6334 /SET BITS IN AC CLEAR CORRESP. BITS IN SENSE REG. +ZTEN=6342 /ZEROS IN AC CLEAR BITS IN ENABLE REGISTER +OTEN=6344 /ONES IN AC SET BIS IN ENABLE REG. THEN CLA +CLXK=6352 /CLEAR CRYSTAL CLK FLAG +CRF=6072 /CLEAR SOME UNKNOWN FLAGS +CCF=6052 /CLEAR SOME UNKNOWN FLAGS + +CLZE=6130 /CLEAR CLOCK ENA REG. PER 1 IN AC +CLSK=6131 /SKIP ON CLOCK FLAG +CLOE=6132 /SET CLOCK REG PER 1 IN AC +CLAB=6133 /AC TO CLOCK COUNTER +CLSA=6135 /STATUS TO AC, CLEAR CLK FLAG + +DISD=6052 /SKIP ON DONE +DILX=6053 /LOAD X REGISTER +DILY=6054 /LOAD Y REGISTER +DIXY=6055 /CLEAR DONE AND INTENSIFY + +DBRI=6514 /LOAD CONTENT OF INPUT REGISTER TO AC + / +/ THIS PROGRAM RELIES ON THE PROGRAM INTERUPT FACILITY FOR +/ REAL WORLD TIMING PURPOSES. +/ + + *0 + + 0 /EFFECTIVE JMS 0 ON PROGRAM INTERUPT + JMP I 2 /EXIT IMMEDIATLY TO SERVICE ROUTINE + INTSER + +EMPTY, 0 /THESE LOCATIONS ARE RESERVED FOR +ODT1, 0 /DEBUGGERS, ETC. +ODT2, 0 +ODT3, 0 + +/ +/ ALL THE AUTO INDEX REGISTERS ARE NAMED BUT NOT ALL OF +/ THEM ARE USED. THE STATUS OF ANY GIVEN REGISTER CANNOT +/ BE DETERMINED AT ANY TIME EXCEPT BY CAREFUL INSPECTION OF +/ THE CODE. +/ + + *10 + +AUTO10, 0 +AUTO11, 0 +AUTO12, 0 +AUTO13, 0 +AUTO14, 0 +AUTO15, 0 +AUTO16, 0 +AUTO17, 0 + +/ +/ THE FOLLOWING ARE THE DATA FILES FOR THE TWO SPACE SHIPS +/ AS WELL AS CERTAIN OTHER PARAMETERS FOR CALCULATING POSITIONS +/ AND SO ON. THE ORDER OF THE LOCATIONS MUST BE PRESERVED +/ ALTHOUGH THE SIZE OF THE TABLES MAY BE VARIED +/ + + *20 + +ONEOUT, 0 /IF NON-ZERO CONTAINS REAMINING TIME OF EXPLOSION +ONECNT, 0 /NUMBER OF POINTS IN FIGURE TO BE DISPLAYED +ONEFLG, 0 /IN OR OUT OF NORMAL SPACE +ONETHE, 0 /ANGLE OF ORIENTATION ON SCREEN +ONEVEX, 0 /X COMPONENT OF VELOCITY +ONEVEY, 0 /Y COMPONENT OF VELOCITY +ONEPEX, 0 /X POSITION (12 BITS) +ONEPEY, 0 /Y POSITION (12 BITS) +ONESIN, 0 /SINE OF ANGLE +ONECOS, 0 /COSINE OF ANGLE +ONEFIN, 0 /SET WHEN EXPLOSION DIES OUT + +TWOOUT, 0 /SAME CONTENT AND ORDER +TWOCNT, 0 /AS ABOVE +TWOFLG, 0 +TWOTHE, 0 +TWOVEX, 0 +TWOVEY, 0 +TWOPEX, 0 +TWOPEY, 0 +TWOSIN, 0 +TWOCOS, 0 +TWOFIN, 0 + +LASTX, 0 /KKKK +LASTY, 0 +LASTX1, 0 +LASTY1, 0 +/ +/ THESE LOCATIONS ARE USED BY THE "VECTOR GENERATOR" IN +/ DISPLAYING THE FIGURES. A FOUR DOT VECTOR WILL BE DRAWN +/ FROM XONE,YONE TO XTWO,YTWO WITH STEPS OF SIZE DIXTEM,DIYTEM +/ + +XONEDS, 0 +YONEDS, 0 +XTWODS, 0 +YTWODS, 0 +DIXTEM, 0 +DIYTEM, 0 +DISCNT, 0 +PNTCNT, 1 + +/ +/ THE NEXT LOCATIONS ARE USED BY CALPOS TO DO A FAST +/ MULTIPLY TO HELP CALCULATE THE DISPLAY FILES. +/ +T10SIN, 0 +T20SIN, 0 +T30SIN, 0 +T10COS, 0 +T20COS, 0 +T30COS, 0 + +CALSIN, 0 +CALCOS, 0 + + +/ +/ NOW COME THE VARIOUS ODDS AND ENDS ONE USUALLY FINDS ON +/ PAGE ZERO +/ + +SINE, SINEIN +COSINE, COSINI +MULT, MULTI +RSHIFT, SHIFTR +VECTOR, DISPLY +CALPOS, POSCAL +INTWRD, 0 +INTCNT, 0 +CLOCK, 0 +HYPER, HYPSET +MESOUT, CHARS +THEADJ, THEAJI +VEESCL, VEELIM +ISHFT, DISHFT +RESET1, RESE1 +GAMOVR, -3 +ACCFLG, 0 +ACCPER, -30 +MEXP, -400 + +PROX, 0 +PROY, 0 +PROLIF, -360 +BUFTMP, 0 +ONEFIL, DISBUF +TWOFIL, DISBUF+40 + +P5, 5 +P10, 10 +P17, 17 +P20, 20 +P37, 37 +P40, 40 +P65, 65 +P100, 100 +P6601, 6601 +P132, 132 +P200, 200 +P400, 400 +P550, 550 +P600, 600 +P3777, 3777 + +M3, -3 +M4, -4 +M6, -6 +M10, -10 +M11, -11 +M264, -264 +M100, -100 +M200, -200 +M400, -400 +M550, -550 +M600, -600 +M1000, -1000 +M7605, 7605 +SB, 0 +SBI, STARS +MESS, 0 +IDLE, NOP / HLT + DBRI + TAD M0021 + SNA CLA + JMP I RESTR + JMP I BACK +BACK, JOBLOP+1 +M0021, -0021 +RESTR, RESTRT +/ +/ THE PROGRAM MAY BE STARTED OR RESTARTED AT ANYTIME AT 0200. +/ THE DATA FILE ON PAGE ZERO IS CLEARED, ALL FLAGS INITIALIZED, +/ AND THE SR EXAMINED. IF THE SR=0 THE DISPLAY UPDATE ROUTINES +/ ARE SET TO PICK UP THE STATUS WORD FROM THE SR. IF THE SR +/ DOES NOT EQUAL ZERO, THE STATUS WORD IS READ FROM THE EIGHT +/ CONTINGENCY INPUTS ON THE BLUE RIBBON CONNECTOR OF THE AX08 +/ (XR OPTION ONLY). JUMP IS THEN TO THE DISPLAY +/ FILE UPDATE TO START OFF THE GAME. +/ + + *200 + +START, CLA CLL /START OR RESTART HERE ANY OLD TIME + LAS /SR + SNA CLA + TAD SWRD /USE THE SR + TAD XROPT /USE THE BLUE RIBBON CONNECTOR + DCA COLDST /AND LEAVE IN THE TRAP LOCATION + +RESTRT, CLA CMA +/ XRCL / ORG + CLZE / KKKK + CLAB + CLA CLL + + TAD P17 /FIRST CLEAR THE POSITION AND DATA + DCA AUTO10 /TABLES OF THE TWO SHIPS + TAD TABLEN + DCA AUTO11 + DCA I AUTO10 + ISZ AUTO11 + JMP .-2 + + TAD STRT1 /SET THE STARTING POSITIONS OF THE + DCA ONEPEX /TWO SHIPS + TAD STRT2 + DCA TWOPEX + TAD P37 /SET TRIG FUNCTIONS JUST IN CASE + DCA ONECOS + TAD P37 + DCA TWOCOS /ZERO DEGREES IS POINTING STRAIGHT UP + IAC + DCA PNTCNT + TAD ACCPER /SET COUNT FOR VELOCITY INCREASE + DCA ACCFLG + DCA ONEFIN /CLEAR ALL GAME END FLAGS + DCA TWOFIN + DCA GAMOVR + JMS I BUFSET /RESET ALL PROJECTILE DISPLAY BUFFERS + / ZTEN /ORG + / OTEN + / RRB + / CRF /UNKNOWN FLAG + / CCF /UNKNOWN FLAG + TAD P5410 /START UP THE CRYSTAL CLOCK IN THE AX08 + CLOE /KK + TCF /CLEAR OTHER REMAINING LIKELY FLAGS + KCF + CLA + JMP COLDST /AND GO TO IT +P5410, 5410 + +/ +/ UPDATE IS REACHED WHENEVER THE PROGRAM IS STARTED OR THE +/ CLOCK COUNT OVERFLOWS INDICATING TIME TO RECALCULATE THE +/ THE DISPLAY FILES AND REFRESH THE DISPLAY. THE INTERUPT +/ COUNT IS RESTORED, THE STATUS WORD IS PICKED UP FROM EITHER +/ THE SR OR BRC, AND THE RECALCULATION PROCESS BEGUN. +/ + +UPDATE, CLA CLL /HERE ON CLOCK COUNT OVERFLOW. + /START NEXT SWEEP +COLDST, 0 /TRAP TO READ SR OR BRC + LAS /HERE FOR SR +/ SWITCHES FOR SHIP 1 AND 2 WERE WRONG! KKK + DCA INTWRD /STORE TEMPORARILY + TAD INTWRD + JMS CLRV + TAD INTWRD /MASK OUT LEFTMOST 4 BITS + RTR /FOR NUMBER ONE + BSW + AND RYTHAF + DCA INTTEM /AND STORE + TAD INTWRD /MASK OUT RIGHTMOST BITS FOR NUMBER TWO + BSW + RTR + AND LFTHAF + TAD INTTEM /ADD TOGETHER + JMP CODST1 /AND CONTINUE + +CODST, +/ XRIN /HERE FOR BRC - PICK UP AND CLEAR +/ XRCL + DBRI / KKKK + RAL + SNL + JMP CODST2 + AND M0600 + TAD P0600 +CODST2, SMA + JMP CODST3 + AND M0030 + TAD P0030 +CODST3, RAR +CODST1, DCA INTWRD /CONTINUE + TAD M550 /RESTORE INTERUPT COUNT BEFORE NEXT + DCA INTCNT /UPDATE + ION /GET READY FOR THE NEXT CYCLE + TAD ACCFLG /ALLOW VELOCITY INCREASE THIS TIME? + IAC /ONLY WHEN ACCFLG=0 + SMA SZA + TAD ACCPER /IF ZERO, RESET COUNT + DCA ACCFLG + + JMP I .+1 /NOW GET DOWN TO WORK. + ONEUP + +BUFSET, SETBUF +TABLEN, AUTO17-CALCOS +INTTEM, 0 +LFTHAF, 0360 +RYTHAF, 0017 +M0600, 7177 +P0600, 0600 +M0030, 7747 +P0030, 0030 +STRT1, 1000 +STRT2, -1000 +SWRD, 2000-CODST +XROPT, JMP CODST + + +/ +/ THIS IS THE INTERUPT SERVICE ROUTINE. MOST OF THE +/ INTERUPTS WILL BE FROM THE CRYSTAL CLOCK WHICH WILL BE +/ COUNTED AND UNLESS THE COUNT OVERFLOWS THE INTERUPT IS +/ DISMISSED IMMEDIATLY. IF THE COUNT OVER FLOWS, JMP IS TO +/ UPDATE WITH IOF. +/ +/ SPECIAL CASE IS KEYBOARD INTERUPT WHEN THE GAMOVR FLAG IS +/ SET IN WHICH CASE THE GAME IS RESTARTED. +/ +/ UNEXPECTED INTERUPTS ARE COUNTED AND AFTER ENOUGH OF THEM +/ HAPPEN THE PROGRAM HALTS. IF THIS HAPPENS RELOAD OR FIND THE +/ STRANGE FLAG +/ + +INTSER, DCA INTACC /HERE RIGHT AFTER INTERUPT - STORE + RAR /AC AND LINK + DCA INTLNK /FOR POSSIBLE CONTINUATION +/ SKXK /WAS IT THE CRYSTAL CLOCK? + CLSK /KKKK + JMP INTBUS /NO TRY SOMETHING ELSE +/ CLXK /YES CLEAR THE FLAG + CLSA + ISZ CLOCK /AND BUMP CLOCK COUNTER + NOP /IGNORE OVERFLOW + ISZ INTCNT /TIME FOR AN UPDATE? + JMP INTRET /NO, DISMISS THE INTERUPT + JMP UPDATE /YES, GO TO IT + +INTBUS, KSF /HERE ON NON-CLOCK INTERUPT + JMP .+5 /NOT THE KEYBOARD + KRB /READ KEYBOARD + TAD M3 /CTRL-C ? + SNA CLA + JMP OS8 + TAD GAMOVR /IS THE GAMEOVER + SZA CLA + JMP RESTRT /YES, RESTART + TCF /NO, HELL WITH IT + ISZ INTGLH /COUNT ONE BADDIE + SKP + HLT /HALT IF TOO MANY BADDIES + +INTRET, CLA CLL /HERE TO DISMISS THE INTERUPT + TAD INTLNK + RAL + TAD INTACC + ION + JMP I 0 +/ +OS8, IOF + JMP I M7605 +/ +INTACC, 0 +INTLNK, 0 +INTGLH, 0 + + +/ +/ NOW BEGINS THE GREAT UPDATE PROCEEDURE, FIRST FOR SHIP +/ NUMBER ONE (THE DELTA SHAPED SHIP WHICH APPEARS ON +/ THE LEFT AT THE START OF THE GAME). IF ALIVE THE STATUS +/ WORD (INTWRD) IS TESTED FOR REQUESTS FOR LEFT TURN, +/ RIGHT TURN, THRUST ON, AND LAUNCH PROJECTILE. THESE ACTIONS +/ MAY OR MAY NOT BE ACTED UPON DEPENDING ON COUNTS AND FLAGS. +/ WHEN THIS IS COMPLETE THE SAME OPERATION IS PERFORMED FOR +/ NUMBER TWO. +/ + *400 + +ONEUP, TAD ONEFLG /FIRST SEE IF IT'S IN NORMAL SPACE + SNA + JMP ONEOK /YES IT IS + IAC /NO, BUT IS IT JUST COMING OUT? + SNA + TAD ONEFIN /YES, THROW BACK IN IF ALREADY DESTROYED + DCA ONEFLG /OTHERWISE JUST COUNT ONE + JMP I ITWOUP /AND GO TO FIX UP NUMBER TWO + +ONEOK, TAD ONEOUT /IN NORMAL SPACE - IS IT EXPLODING? + SZA CLA + JMP ONEFIG /IF YES, ALLOW NO CONTROLS + TAD TWOFIN /HAS THE ENEMY BEEN VANQUISHED? + SZA CLA + JMS I ONEWN /YES, SIGNAL VICTORY + TAD INTWRD /NOW BEGIN TEST OF REQUEST + AND OP300 /LEFT AND RIGHT TURN TOGETHER MEAN HYPERSPACE! + TAD OM300 /TEST BITS 4 AND 5 + SZA CLA + JMP ONELEF /NOPE, CONTINUE + CMA /YES, CALL HYPER WITH AC=-1 FOR NUMBER ONE + JMP I HYPER +ONELEF, TAD INTWRD /REQUEST FOR LEFT TURN? + AND P200 /TEST BIT 4 + SNA CLA + JMP ONERYT /NO + CLA CLL CMA /YES DECREMENT ANGLE + JMP ONEFIG + +ONERYT, TAD INTWRD /HOW ABOUT RIGHT TURN + AND P100 /TEST BIT 5 + SZA CLA + IAC /YES, INCREMENT ANGLE + +ONEFIG, TAD ONETHE /PICK UP AND ADJUST ANGLE (MAYBE) + JMS I THEADJ /BRING BACK WITHIN LIMITS OF TRIG FUNCTIONS + DCA ONETHE /AND STORE + TAD ONETHE /FIND THEM TRIG FUNCTIONS + JMS I SINE /AND STORE ONCE AND FOR ALL + DCA ONESIN /IN THE APPROPRIATE PLACES + TAD ONETHE + JMS I COSINE + DCA ONECOS + TAD ONEOUT /DO NOT ALLOW THRUST IF EXPLODING + SZA CLA + JMP ONEVEL + + + +ONEMOV, TAD ACCFLG /ALLOW ANY VELOCITY INCREASE THIS CYCLE? + SZA CLA + JMP ONEVEL /NOPE + TAD INTWRD /YES, ANY REQUESTED? + AND P40 /TEST BIT 6 + SNA CLA + JMP ONEVEL /NONE REQUESTED + TAD ONECOS /YES, ADD IN VELOCITY INCREMENT DEPENDING + TAD ONEVEY /ON ORIENTATION + JMS I VEESCL /BUT DO NOT ALLOW TO EXCEED MAXIMUM + DCA ONEVEY /AND STORE + TAD ONESIN /DO THE SAME FOR THE OTHER (X) COMPONENT + TAD ONEVEX + JMS I VEESCL + DCA ONEVEX + + + +ONEVEL, TAD ONEVEX /NOW UPDATE THE POSITION WITH THE + JMS I ISHFT /VELOCITY COMPONENTS DIVIDED BY 4 + JMS I ISHFT /THIS MAINTAINS MAXIMUM RESOLUTION + TAD ONEPEX + DCA ONEPEX /IGNORE ANY OVERFLOW + TAD ONEVEY /DO THE SAME FOR Y COORDINATE + JMS I ISHFT /AND VELOCITY COMPONENT + JMS I ISHFT + TAD ONEPEY + DCA ONEPEY + TAD ONEOUT /DO NOT ALLOW PROJECTILE LAUNCH IF + SZA CLA /EXPLODING + JMP I ITWOUP + + + +ONELNC, TAD LNC1FG /OTHERWISE, SEE IF RELOAD IS FINISHED + SNA CLA + JMP .+3 + ISZ LNC1FG /NO, CONTINUE RELOADING + JMP I ITWOUP /AND EXIT + TAD INTWRD /YES, READY TO LAUNCH, TRIGGER BEEN PULLED? + AND P20 /TEST BIT7 + SNA CLA + JMP I ITWOUP /NO, WAIT FOR A BETTER SHOT + /.....I GUESS..... + TAD PROLIF /YES, SET CYCLE COUNT FOR THIS LAUNCH + DCA I AUTO16 /AUTO16 ALWAYS POINTS AT THE NEXT SLOT IN THE FILE + TAD ONEVEX /ADD SHIPS VELOCITY (SCALED OF COURSE) + JMS I ISHFT /TO ORIENTATION TO EXTABLISH X VELOCITY + JMS I RSHIFT /COMPONENT OF PROJECTILE + TAD ONESIN + JMS I RSHIFT /AND STICK IT IN THE FILE + DCA I AUTO16 + TAD ONESIN /MOVE THE LAUNCH POINT OUTSIDE THE + CLL RTL /SHIP OF ORIGIN + TAD ONEPEX + DCA I AUTO16 /AND STORE X POSITION + TAD ONEVEY /NOW DO THE SAME FOR THE Y VELOCITY AND + JMS I ISHFT /POSITION + JMS I RSHIFT + TAD ONECOS + JMS I RSHIFT + DCA I AUTO16 + TAD ONECOS + CLL RTL + TAD ONEPEY + DCA I AUTO16 + TAD M200 /START RELOAD CYCLE + DCA LNC1FG + JMS I RESET1 /RESET AUTO16 TO NEXT HOLE + + JMP I .+1 /NOW TO FIX IT UP WITH NUMBER TWO +ITWOUP, TWOUP + +LNC1FG, 0 /PROJECTILE LAUNCH ENABLE + +OP300, 300 /HYPERSPACE REQUEST CODE BITS 4 AND 5 +OM300, -300 +ONEWN, ONEWIN /POINTER TO VICTORY MESSAGE + + +/ +/ HERE BEGINS THE UPDATE PROCEEDURE FOR SHIP NUMBER TWO. +/ OPERATION IS THE SAME AS FOR NUMBER ONE ABOVE. +/ +CLRV, 0 + BSW + SMA CLA + JMP I CLRV + DCA ONEVEX + DCA ONEVEY + DCA TWOVEX + DCA TWOVEY + JMP I CLRV + *600 + +TWOUP, TAD TWOFLG /FIRST SEE IF IT'S IN NORMAL SPACE + SNA + JMP TWOOK /YES, CONTINUE + IAC /NO, BUMP COUNT AND TEST FOR REENTRY + SNA + TAD TWOFIN /IF RE-ENTERING THROW BACK OUT IF FINISHED + DCA TWOFLG /AND CONTINUE + JMP I IONEST + +TWOOK, TAD TWOOUT /HERE WHEN READY TO UPDATE IN NORMAL SPACE + SZA CLA /IS IT EXPLODING? + JMP TWOFIG /YES DO NOT ALLOW HYPERSPACE + TAD ONEFIN /DID WE JUST WIN? + SZA CLA + JMS I TWOWN /YES ENABLE END OF GAME MESSAGE + TAD INTWRD /TEST FOR HYPERSPACE REQUEST + AND OP14 + TAD OM14 /BITS 8 AND 9 MUST BE SET + SNA CLA + JMP I HYPER /8 AND 9 SET. ENTER HYPER ROUTINE WITH AC=0 + /FOR SHIP NUMBER 2 +TWOLEF, TAD INTWRD /TEST FOR LEFT TURN - BIT 8 + AND P10 + SNA CLA + JMP TWORYT /NOT SET + CLA CLL CMA /SET, DECREMENT TWOTHE BY 1 DEGREE + JMP TWOFIG /SKIP TEST FOR RIGHT TURN + +TWORYT, CLA CLL IAC RTL /TEST FOR RIGHT TURN - BIT 9 + AND INTWRD + SZA CLA + IAC /IF SET INCREMENT TWOTHE BY 1 DEGREE + +TWOFIG, TAD TWOTHE /UPDTAE TWOTHE + JMS I THEADJ /BRING TO WITHIN LIMITS OF SINE,COSINE + DCA TWOTHE /AND STORE + TAD TWOTHE + JMS I SINE /CALCULATE SINE AND COSINE FUNCTIONS + DCA TWOSIN /AND STORE IN DATA TABLE + TAD TWOTHE + JMS I COSINE + DCA TWOCOS + TAD TWOOUT /DO NOT ALLOW VELOCITY CHANGE IF EXPLODING + SZA CLA + JMP TWOVEL + + + +TWOMOV, TAD ACCFLG /NOW FOR ACCELERATION. TEST TO SEE IF ALLOWED + SZA CLA /DURING THIS UPDATE CYCLE + JMP TWOVEL /NOPE + CLL IAC RAL /YES, TEST FOR BIT 2 SET + AND INTWRD + SNA CLA + JMP TWOVEL /NOT SET + + TAD TWOSIN /UPDATE X VELOCITY COMPONENT BY SINE OF + TAD TWOVEX /ANGLE OF ORIENTATION + JMS I VEESCL /AND SCALE TO NOT EXCEED MAX + DCA TWOVEX /UPDATE Y COMPONENT WITH COSINE + + TAD TWOCOS + TAD TWOVEY + JMS I VEESCL + DCA TWOVEY + + + +TWOVEL, TAD TWOVEX /NOW UPDATE THE POSITION WITH THE VELOCITY + JMS I ISHFT /COMPONENTS/16 + JMS I ISHFT + TAD TWOPEX + DCA TWOPEX + TAD TWOVEY + JMS I ISHFT + JMS I ISHFT + TAD TWOPEY + DCA TWOPEY + TAD TWOOUT + SZA CLA + JMP I IONEST + + + +TWOLNC, TAD LNC2FG /NOW CHECK FOR PROJECTILE LAUNCH. FIRST + SNA CLA /TEST TO SEE IF RELOAD COMPLETE + JMP .+3 + ISZ LNC2FG /NO, COUNT ONE CYCLE AND EXIT + JMP I IONEST + IAC /YES, TEST TRIGGER BIT 11 + AND INTWRD + SNA CLA + JMP I IONEST /NOT SET, HELL WITH IT + + TAD PROLIF /OK, SET PROJECTILE LIFE + DCA I AUTO16 /AUTO16 IS ALWAYS POINTING AT THE NEXT SLOT + TAD TWOVEX /ADD SHIPS VELOCITY + JMS I ISHFT /(ADJUSTED) + JMS I RSHIFT + TAD TWOSIN /TO THAT OF PROJECTILE - AGAIN X COMPONENT + JMS I RSHIFT /FROM SINE OF ANGLE OF ORIENTATION + DCA I AUTO16 + TAD TWOSIN /SET INITIAL POSITION TO BE JUST AHEAD + CLL RTL /OF THE SHIP + TAD TWOPEX /X COMPONENT + DCA I AUTO16 + TAD TWOVEY /NOW THE Y COMPONENTS FROM Y VELOCITY + JMS I ISHFT /Y POSITION AND COSINE + JMS I RSHIFT + TAD TWOCOS + JMS I RSHIFT + DCA I AUTO16 + TAD TWOCOS + CLL RTL + TAD TWOPEY + DCA I AUTO16 + TAD M200 + DCA LNC2FG /200 CYCLES OF RELOAD + JMS I RESET1 /DRINK LEADEN DEATH, NUMBER ONE! + + JMP I .+1 /FINAL EXIT TO DISPLAY FILE CALCULATIONS +IONEST, ONESET + +LNC2FG, 0 /RELOAD COUNT + +OP14, 14 /HYPERSPACE CODE +OM14, -14 +TWOWN, TWOWIN + + +/ +/ HERE BEGINS THE DISPLAY CALCULATIONS FOR THE TWO SHIPS. AT +/ THIS POINT ONLY THE POSITION AND ORIENTATION OF EACH VESSEL +/ IS ONF INTEREST SINCE THE VELOCITY AND ALL THAT HAVE ALREADY +/ BEEN TAKEN CARE OF. FOR THE BOTH SHIPS THE DISPLAY FILES ARE +/ CALCULATED AS A SERIES OF PAIRS OF X,Y COORDINATES. BETWEEN +/ EACH PAIR OF POINTS A FOUR POINT VECTOR WILL BE DRAWN. THE +/ ACTUAL COORDINATES ARE CALCULATED AS DISPLACEMENTS +/ FROM THE CENTRAL PSOTION OF THE SHIP, TAKING INTO ACCOUNT THE +/ ANGLE OF ORIENTATION. THE FORMULAS FOLLOWED ARE: +/ +/ X(POINT)=X(BASE)+X(REL)*COS[THE]+Y(REL)*SINE[THE] +/ +/ Y(POINT)=Y(BASE)+Y(REL)*COS[THE]-X(REL)*SINE[THE] +/ +/ WHERE SINE[THE] AND COS[THE] ARE THE FUNCTIONS OF THE +/ ANGLE OF ORIENTATION, X(BASE) AND Y(BASE) ARE THE +/ COORDINATES OF THE SHIPS POSITION AND X(REL) AND Y(REL) +/ CORRESPOND TO DISPLACEMENT PAIRS DEPENDING ON THE SHAPE +/ OF THE FIGURE. ALL X AND Y RELS LIE WITHIN THE RANGE 0-3 AND +/ THERE FORE ALL NECESSARY DISPLACEMENTS FROM BASE COORDINATES +/ MAY BE CALCULATEDFROM DIFFERENT COMBINATIONS OF T10SIN, T20COS +/ ETC. THESE VALUES ARE CALCULATED BY A CALL TO POSCAL WITH THE SINE +/ AND COSINE OF THE ANGLE OF INTEREST IN CALSIN AND CALCOS. +/ +/ FOLLOWING THIS METHOD ANY FIGURE DESCRIBABLE WITH A 7 BY 7 +/ MATRIX OF POINTS MAY BE QUICKLY CALCULATED. +/ +/ BEGINNING AT ONESET DIFFERENT DISPLACEMENT PAIRS ARE CALCULATED +/ AND DEPOSITIED THROUGH AUTO10 TO FORM THE DISPLAY FILE FOR SHIP NUMBER ONE. +/ + + + *1000 + +ONESET, CLA CLL /BEGIN DISPLAY FILE FOR NUMBER ONE + TAD ONEFLG /DONT BOTHER IF NOT IN NORMAL SPACE + SZA CLA + JMP I ITWOST + TAD ONESIN /SET UP FOR MATRIX COMPONENT CALCULATIONS + DCA CALSIN + TAD ONECOS + DCA CALCOS + JMS I CALPOS /CALL THE CALCULATOR + +/ +/ CONSIDER THE 7 BY 7 MATRIX OF DISPLACEMENT POINTS WITH THE +/ CENTER AT 0,0 CORRESPONDING TO THE SHIPS POSITION. A SERIES +/ OF POINTS IS NOW DESCRIBED AROUND THIS CENTER USING THE +/ MULTIPLES OF THE TRIG FUNCTIONS JUST CALCULATED +/ SO THAT ANY POINT ON THE OUTLINE IS DESCRIBABLE AS X,Y +/ DISPLACED BY X,Y OF THE SHIP ITSELF +/ + + TAD ONEFIL /SET UP AUTO10 AS THE DISPLAY FILE + DCA AUTO10 /POINTER + TAD ONEPEX /THE FIRST POINT OF THE OUTLINE IS + TAD T30SIN + DCA I AUTO10 / 0,3 OR TOP CENTER + TAD ONEPEY + TAD T30COS + DCA I AUTO10 + + TAD T10COS + CIA /THE SECOND IS + TAD ONEPEX + DCA I AUTO10 / -1,0 + TAD T10SIN /OR JUST LEFT OF DEAD CENTER + TAD ONEPEY /AND SO ON + DCA I AUTO10 + + TAD T30SIN + TAD T30COS /THE THIRD POINT IS + CIA + TAD ONEPEX / -3,-3 + DCA I AUTO10 + TAD T30COS /OR BOTTOM LEFT HAND CORNER + CIA + TAD T30SIN + TAD ONEPEY + DCA I AUTO10 + + + + TAD T10SIN + CIA /FOURTH POINT + TAD ONEPEX + DCA I AUTO10 / 0,-1 + TAD T10COS + CIA /OR JUST BELOW CENTER + TAD ONEPEY + DCA I AUTO10 + +FLAM1, TAD INTWRD /TEST FOR POWER ON. IF ON, DRAW THE + AND P40 /FLAME WITH AN EXTRA POINT SOME + SNA CLA /DISTANCE DIRECTLY BELOW THE SHIP + JMP ONECON /POWER NOT ON - CONTINUE + TAD ONEOUT /DO NOT ALLOW IF EXPLODING + SZA CLA + JMP ONECON + + TAD ONFG1 /USE ONFG1 TO TURN THE FLAME ON AND + SNA /OFF TO MAKE IT FLICKER. DISPLAY THE + CLA CLL CMA RAL /FLAME ONE TIME OUT OF THREE + DCA ONFG1 + + ISZ ONFG1 + JMP ONECON /ONE OUT OF THREE TIMES THIS WILL SKIP + + TAD ONFG2 /VARY ALSO THE LENGHT OF THE FLAME + CMA /WITH LONG SHORT LONG SHORT + DCA ONFG2 + + TAD ONFG2 /TIP OF FLAME AT EITHER + SNA CLA + TAD T10SIN / 0,-4 OR + TAD T30SIN / 0,-3 + CIA + TAD ONEPEX + DCA I AUTO10 + TAD ONFG2 + SNA CLA + TAD T10COS + TAD T30COS + CIA + TAD ONEPEY + DCA I AUTO10 + + TAD T10SIN + CIA + TAD ONEPEX /RETURN DISPLAY TO 0,-1 + DCA I AUTO10 + TAD T10COS + CIA + TAD ONEPEY + DCA I AUTO10 + CLA CLL CMA RAL /ADD -2 TO POINT COUNT + + + +ONECON, TAD M6 /SET POINT COUNT TO -6 OR -8 + DCA ONECNT + + TAD T30SIN /CONTINUE WITH DISPLAY FILE - THIS POINT + CIA + TAD T30COS / AT 3,-3 + TAD ONEPEX / + DCA I AUTO10 /OR LOWER RIGHT HAND CORNER + TAD T30SIN + TAD T30COS + CIA + TAD ONEPEY + DCA I AUTO10 + + TAD T10COS /NEXT + TAD ONEPEX / + DCA I AUTO10 / 1,0 + TAD T10SIN / + CIA / OR JUST RIGHT OF CENTER + TAD ONEPEY + DCA I AUTO10 + + TAD T30SIN /FINALLY BACK TO + TAD ONEPEX / + DCA I AUTO10 / 0,3 + TAD T30COS / + TAD ONEPEY / TOP CENTE + DCA I AUTO10 + + JMP I ITWOST /NOW FOR NUMBER TWO +ITWOST, TWOSET + +ONFG1, 0 /USED TO COUNT FLICKERS +ONFG2, 0 /SHORT OR LONG FLAG + + +/ +/ HERE BEGINS THE DISPLAY FILE GENERATOR FOR SHIP TWO. +/ IT WORKS JUST LIKE THE ONE FOR NUMBER ONE BUT WITH +/ DIFFERENT DISPLACEMENT PAIRS AND TWO EXTRA POINTS +/ + + *1200 + +TWOSET, CLA CLL /DONT BOTHER IF NOT IN NORMAL SPACE + TAD TWOFLG + SZA CLA + JMP I IFILDS + TAD TWOSIN /SET UP TO HAVE DISPLACEMENT INCREMENTS + DCA CALSIN /CALCULATED + TAD TWOCOS + DCA CALCOS + JMS I CALPOS + + TAD TWOFIL /SET AUTO10 TO POINT TO SECOND DISPLAY + DCA AUTO10 /FILE + TAD T30SIN /FIRST POINT AT + TAD TWOPEX / + DCA I AUTO10 / 0,3 + TAD T30COS / + TAD TWOPEY / OR TOP CENTER + DCA I AUTO10 + + TAD T20COS + CIA + TAD T20SIN + TAD TWOPEX + DCA I AUTO10 + TAD T20SIN + TAD T20COS /SECOND POINT + TAD TWOPEY / -2,2 + DCA I AUTO10 + + TAD T20COS /THIRD POINT + CIA / -2,0 + TAD TWOPEX + DCA I AUTO10 + TAD T20SIN + TAD TWOPEY + DCA I AUTO10 + + + + TAD T20COS + TAD T30SIN + CIA + TAD TWOPEX /FOURTH POINT + DCA I AUTO10 / -2,-3 + TAD T30COS + CIA + TAD T20SIN + TAD TWOPEY + DCA I AUTO10 + + + + TAD T20SIN + CIA /NEXT + TAD TWOPEX / 0,-2 + DCA I AUTO10 + TAD T20COS + CIA + TAD TWOPEY + DCA I AUTO10 + +FLAM2, CLA CLL IAC RAL /NOW THE FLAME BIT. CHECK FOR POWER ON + AND INTWRD + SNA CLA + JMP TWOCON /NO, FORGET IT + TAD TWOOUT /NOT ALLOWED IF EXPLODING + SZA CLA + JMP TWOCON + + TAD TWFG1 /SET THE 1-3 FLICKER AS WITH #1 + SNA + CLA CLL CMA RAL + DCA TWFG1 + + ISZ TWFG1 /ALSO THE LENGHT VARIATION + JMP TWOCON + + TAD TWFG2 /EVERY OTHER TIME LONG + CMA + DCA TWFG2 + /FLAME TIP AT EITHER + TAD TWFG2 / 0,-3 + SNA CLA /OR + TAD T20SIN / 0,-5 + TAD T30SIN + CIA + TAD TWOPEX + DCA I AUTO10 + TAD TWFG2 + SNA CLA + TAD T20COS + TAD T30COS + CIA + TAD TWOPEY + DCA I AUTO10 + + TAD T20SIN /NOW BACK UP TO THE SHIP + CIA + TAD TWOPEX + DCA I AUTO10 + TAD T20COS + CIA + TAD TWOPEY + DCA I AUTO10 + + CLA CLL CMA RAL /ADD -2 TO POINT COUNT + + + +TWOCON, TAD M10 /SET POINT COUNT TO -8 OR -10 + DCA TWOCNT + + TAD T30SIN /CONTINUE WITH DISPLAY FILE + CIA /NEXT POINT AT 2,-3 + TAD T20COS + TAD TWOPEX + DCA I AUTO10 + TAD T30COS + TAD T20SIN + CIA + TAD TWOPEY + DCA I AUTO10 + + + + TAD T20COS /NEXT POINT + TAD TWOPEX / + DCA I AUTO10 / 2,0 + TAD T20SIN + CIA + TAD TWOPEY + DCA I AUTO10 + + TAD T20COS /AND THE NEXT AT + TAD T20SIN + TAD TWOPEX / 2,2 + DCA I AUTO10 + TAD T20SIN + CIA + TAD T20COS + TAD TWOPEY + DCA I AUTO10 + + TAD T30SIN + TAD TWOPEX + DCA I AUTO10 + TAD T30COS /AND THE LAST AT + TAD TWOPEY / + DCA I AUTO10 / 0,3 + + JMP I IFILDS /NOW TO DISPLAY THE WHOLE MESS +IFILDS, FILDIS + +TWFG1, 0 /FLIK THE FLAME +TWFG2, 0 /LONG OR SHORT + + +/ +/ HERE TO DISPLAY THE TWO SHIPS. CHECK FIRST FOR COLLISION +/ AND THEN SET THE TWO PAIRS OF COORDENATES FOR THE END +/ POINTS AND CALL THE "VECTOR GENERATOR" TO DRAW THE DOTS +/ IN BETWEEN. WHEN THE COUNT OVERFLOWS DO THE SAME FOR +/ NUMBER TWO. THEN EXIT TO DISPLAY ALL THE PROJECTILES. +/ + + *1400 + +FILDIS, CLA CLL /ALL SET TO GO + JMS I COLIDE /TEST FOR COLLISION FIRST +/ DSB 1 /IF NO COLLISION + NOP /KKKK + TAD ONEFLG /SKIP NUMBER ONE IF NOT IN NORMAL + SZA CLA /SPACE + JMP TWODIS + + TAD ONEFIL /SET UP POINTERS TO DISPLAY FILE + DCA AUTO10 /FOR NUMBER ONE + TAD ONECNT /ALONG WITH VECTOR COUNT + DCA AUTO11 + TAD I AUTO10 /SET OUT THE FIRST POINT PAIR + DCA XONEDS + TAD I AUTO10 + DCA YONEDS + TAD ONEOUT /NORMAL DISPLAY OR EXPLOSION? + SZA CLA + JMP I IONEEX /GO ELSE WHERE FOR EXPLOSION + +FILONE, TAD I AUTO10 /STEP TO NEXT PAIR OF POINTS + DCA XTWODS /SET X AND Y TO NEW POINT + TAD I AUTO10 + DCA YTWODS + JMS I VECTOR /CALL THE DOT DRAWING MACHINE + TAD LASTX + DCA LASTX1 + TAD LASTY + DCA LASTY1 + ISZ AUTO11 + SKP /COUNT + JMP TWODIS /DO NUMBER TWO ON OVERFLOW + TAD XTWODS /SWAP POINTS FOR NEXT PAIR + DCA XONEDS + TAD YTWODS /THE GENERATOR DRAWS FROM ONE + DCA YONEDS /TOWARDS TWO + JMP FILONE + + + +TWODIS, TAD TWOFLG /HERE TO DO NUMBER TWO + SZA CLA /BUT NOT IF IN HYPER SPACE + JMP I IPRODS + + TAD TWOFIL /SET UP FILE POINTER AS IN ONE + DCA AUTO10 + TAD TWOCNT /AND THE COUNT + DCA AUTO11 + TAD I AUTO10 /I SUPPOSE THIS COULD BE A SUBROUTINE TOO + DCA XONEDS + TAD I AUTO10 + DCA YONEDS + TAD TWOOUT /IS IT EXPLODING? + SZA CLA + JMP I ITWOEX /YES, HOW EXCITING + +TWDLOP, TAD I AUTO10 /NO HOW DULL, STICK IN NEXT PAIR OF + DCA XTWODS /POINTS + TAD I AUTO10 + DCA YTWODS /AND CALL THE VECTOR SEQUENCE + JMS I VECTOR + ISZ AUTO11 + JMP .+3 + + JMP I .+1 /WHEN COUNT OVERFLOWS GO ON TO +IPRODS, PRODIS /DO THE PROJECTILE THING + + TAD XTWODS /OTHERWISE SWAP ON TO THE NEXT PAIR + DCA XONEDS /OF POINTS + TAD YTWODS + DCA YONEDS + JMP TWDLOP + +COLIDE, COLLID +IONEEX, ONEEXP +ITWOEX, TWOEXP + + +/ +/ THIS IS THE SO CALLED "VECTOR GENERATOR" WHICH DRAWS A +/ SERIES OF DOTS FROM XONEDS,YONEDS TO XTWODS,YTWODS. +/ THE COORDINATE COMPONENTS ARE DIVIDED INTO FOURTHS AND +/ FOUR DOTS DRAWN ON THE SCOPE SCREEN. NOTE THAT NO DOT +/ IS DRAWN AT XONEDS,YONEDS. THIS IS COMPENSATED FOR ELSEWHERE. +/ + + +DISPLY, 0 /ENTER TO DRAW A FOUR POINT VECTOR + TAD XONEDS /FROM XONEDS,YONEDS + CIA /TO XTWODS,YTWODS + TAD XTWODS /DIVIDE COORDINATE DIFERENCES INTO + JMS DISHFT /FOURTHS + DCA DIXTEM /AND STORE INCREMENT + TAD YONEDS + CIA + TAD YTWODS + JMS DISHFT + DCA DIYTEM + TAD M4 /FOR FOUR DOTS + DCA DISCNT + +DISLOP, TAD XONEDS /ADD INCREMENT TO CURRENT X AND Y + TAD DIXTEM + DCA XONEDS /NOTE THAT THIS ROUTINE DESTROYS + TAD YONEDS /XONEDS AND YONEDS + TAD DIYTEM + DCA YONEDS + TAD XONEDS + RTR /DIVIDE BY 8 TO FIT SCREEN SIZE +/ RAR + NOP +/ DXC DXL /SET X VALUE + DILX /KKKK +/ CLA + DCA LASTX + TAD YONEDS /DO THE SAME FOR Y + RTR +/ RAR + NOP +/ DYC DYL DIS /AT LAST SOMETHING TO SEE!! + DILY /KKKK +/ CLA + DCA LASTY + ISZ DISCNT /DONE YET? + JMP DISLOP /NOPE + JMP I DISPLY /YUP + + +DISHFT, 0 /A GENERALIZED SHIFT ROUTINE CALLED + CLL /FROM EVERYWHERE TO DIVIDE THE + SPA /AC BY FOUR WITH AN ASR RIGHT + CML IAC /NOTE THAT NEGATIVE NUMBERS ARE + RAR /ROUNDED UPWARDS (TOWARD ZERO) + CLL /TO MAKE IT COME OUT RIGHT + SPA + CML IAC /EVEN SO THERE ARE SOME ROUNDING ERRORS + RAR /SOMEWHERE. SO MUCH FOR 12 BIT MACHINES + JMP I DISHFT + + +/ +/ HERE TO DISPLAY ALL THE PROJECTILES AND TEST FOR HITS. +/ THE PROJECTILE DISPLAY FILE IS SEARCHED FOR PROJECTILES WITH +/ NON-ZERO COUNTS AND WHEN ONE IS FOUND THE POSITION IS +/ UPDATED BY THE VELOCITY, THE POINT DISPLAYED AND TESTED FOR +/ A HIT. +/ + + *1600 + +PRODIS, CLA CLL / BEGIN DISPLAY OF THE PROJECTILES + TAD BUFST /POINT TO BEGINNING OF DISPLAY FILE + DCA BUFTMP + NOP / DSB 2 KKKK /SET EXTRA BRIGHT FOR SINGLE POINTS + +PROLOP, TAD I BUFTMP /PICK UP NEXT COUNT + SNA + JMP EXPIRE /THIS ONE IS DEAD - GO TO THE NEXT + IAC /INCREMENT COUNT AND REPLACE + DCA I BUFTMP + ISZ BUFTMP /BUMP POINTER TO X VELOCITY + TAD I BUFTMP + ISZ BUFTMP /THEN TO XPOSITION AND UPDATE X POSITION + TAD I BUFTMP /WITH THE VELOCITY WHICH IS CONSTANT + DCA I BUFTMP + TAD I BUFTMP + DCA PROX /AND STORE X POSITION FOR DISPLAY AND TEST + ISZ BUFTMP /NOW TO Y POSITION AND VELOCITY + TAD I BUFTMP + ISZ BUFTMP + TAD I BUFTMP /SAME LITTLE GAME + DCA I BUFTMP + TAD I BUFTMP + DCA PROY /STORE THE NEW Y VALUE + + TAD PROX /DISPLAY THE POINT WITH + RTR /THE SAME SHIFT AS FOR THE SHIPS +/ RAR /FOR THE SMALL SCREEN +/ DXC DXL + DILX /KKKK + CLA + TAD PROY + RTR / +/ RAR +/ DYC DYL DIS /THERE IT IS!! + DILY /KKKK + CLA + JMS I CHKOUT /TEST FOR A HIT + ISZ BUFTMP /MOVE POINTER ON AND TEST FOR END + TAD BUFTMP /OF BUFFER + TAD BUFLIM + SZA CLA + JMP PROLOP /NOT AT END - CONTINUE + + / +/ HERE AT THE END OF THE PROJECTILE DISPLAY. IF THE GAMOVR +/ FLAG IS SET, GO ON TO THE MESSAGE DISPLAY - VICTORY LAP +/ SECTION. OTHERWISE PICK UP THE REMAINING CLOCK COUNT +/ TO GIVE THE FANS SOMETHING TO LOOK AT, AND MOVE THE +/ ELECTRON BEAM TO A LOWER CORNER. THE COUNT DISPLAYED +/ IN THE AC IS THE NUMBER OF 100 USEC CLOCK TICKS REMAINING +/ WHEN THIS CODE IS REACHED BEFORE THE NEXT UPDATE WOULD +/ BEGIN. TURNS OUT THAT ROUGHLY 2/3 OF THE CPU IS LEFT +/ OVER SHOULD ANYONE WANT TO DO ANYTHING VERY FANCY. +/ + + +FINISH, TAD GAMOVR /IS THIS THE VICTORY LAP OR WHAT? + SZA CLA + JMP I ENDGAM /YES, GO TO PUT UP THE MESSAGE + JMP I .+1 + FINIS1 + TAD M1000 /MOVE THE BEAM OFF SCREEN + DILX / DYC DYL KKKK + CLA CLL + TAD M1000 + DILY / DXC DXL + DILX + JMP .-1 +/ +ENDGAM, JOBLOP + + + +EXPIRE, TAD BUFTMP /HERE TO ADVANCE THE BUFFER + TAD P5 /POINTER TO THE NEXT PROJECTILE + DCA BUFTMP /UNLESS THE END + TAD BUFTMP /OF THE BUFFER + TAD BUFLIM /IS REACHED + SZA CLA /IN WHICH CASE + JMP PROLOP /IT + JMP FINISH /QUITS + +BUFST, DISBUF+101 +BUFLIM, -DISBUF-175 +CHKOUT, CHECK + +RESE1, 0 /THIS IS CALLED TO SET THE POINTER + TAD MRES /(AUTO16) TO THE NEXT FREE SLOT + DCA RESCNT /FOR A PROJECTILE LAUNCH. 12 POSSIBLE + +RESLOP, TAD RESPNT /MOVE THE POINTER TO THE NEXT SLOT + TAD P5 + DCA RESPNT + TAD RESPNT /RESTE IF AT END OF BUFFER + TAD BUFLIM + SZA CLA + JMP RESCON + TAD BUFST + DCA RESPNT + +RESCON, TAD I RESPNT /FIND A HOLE YET? + SNA CLA + JMP RESFND /YES, SET UP AUTO16 + ISZ RESCNT /NO COUNT + JMP RESLOP /AND TRY AGAIN + HLT /NO HOLES AT ALL? + +RESFND, CMA /BACK THE POINTER FOR AUTO INDEXING + TAD RESPNT + DCA AUTO16 + JMP I RESE1 + +MRES, -14 +RESCNT, 0 +RESPNT, 0 + +SETBUF, 0 + CMA /THIS ROUTINE IS CALLED FROM THE + TAD BUFST /STARTING SEQUENCE TO INITIALIZE ALL + DCA AUTO16 /THE BUFFER POINTERS AND SO ON + TAD BUFST + DCA BUFTMP + TAD BUFST + DCA RESPNT + TAD BUFST + DCA SETPNT +SETLOP, DCA I SETPNT + ISZ SETPNT + TAD SETPNT + TAD BUFLIM + SZA CLA + JMP SETLOP + JMP I SETBUF + +SETPNT, 0 + + +/ +/ THIS HERE NOW THING CHECKS THE COORDINATES OF THE MOST RECENTLY +/ DISPLAYED PROJECTILE AGAINST THOSE OF THE SHIPS ON THE SCREEN. +/ IF WITH A COLLISION LIMIT A HIT IS RECORDED AND THE LIFE +/ COUNT OF THE PROJECTILE ZEROED TO REMOVE IT. A HIT SHIP +/ IS SUITABLY FLAGGED +/ + + *2000 + +CHECK, 0 /HERE TO TEST FOR A PROJECTILE HIT + TAD ONEFLG /CANT HIT SOMETHING IN HYPERSPACE + SZA CLA + JMP CHECK2 + TAD ONEOUT /OR SOMETHING THAT'S BEEN HIT + SZA CLA + JMP CHECK2 + + TAD PROX /CHECK X COORDINATES OF SHIP ONE + CIA /AND PROJECTILE + TAD ONEPEX /THIS SORT OF THING IS WHY THE + SPA /COORDINATES HAVE TO BE MAINTAINED TO 12 + CIA /BITS + TAD LIMIT /CLOSE ENOUGH? + SMA CLA + JMP CHECK2 /IF X ISN' CLOSE ENOUGH THEN NO HIT + TAD PROY /X WAS CLOSE ENOUGH, HOW ABOUT Y? + CIA + TAD ONEPEY + SPA + CIA + TAD LIMIT + SMA CLA + JMP CHECK2 /NO HIT + + TAD MEXP /DEPOSIT EXPLOSION COUNT IN ONEOUT + DCA ONEOUT /ALL THAT IS NECESSARY + JMS CUTOUT /REMOVE PROJECTILE + + + +CHECK2, TAD TWOFLG /NO HIT ON NUMBER ONE, TRY NUMBER TWO + SZA CLA + JMP I CHECK /BUT NOT IF IN HYPERSPACE + TAD TWOOUT /OR IF ALREADY HIT + SZA CLA + JMP I CHECK + + TAD PROX /CHECK X'S FIRST + CIA + TAD TWOPEX + SPA /GET ABSOLUTE VALUE OF DIFFERENCE + CIA + TAD LIMIT /AND TEST MAGNITUDE AGAINST PROXIMITY + SMA CLA /LIMIT + JMP I CHECK /NOWHERE NEAR CLOSE + + TAD PROY /NYAH, NYAH + CIA /TRY THE Y'S + TAD TWOPEY + SPA + CIA /ABSOLUTE VALUE OF DIFFERENCE + TAD LIMIT + SMA CLA + JMP I CHECK /CLEAN MISS! + + TAD MEXP /HIT ON TWO - END EVERYTHING BY SETTING + DCA TWOOUT /TWOOUT TO NON-ZERO EXPLOSION COUNT + JMS CUTOUT + JMP I CHECK /EXIT AFTER DESTOYING PROJECTILE + +LIMIT, -120 /PROXIMITY LIMIT FOR WHAT CONSTITUTES A HIT + +CUTOUT, 0 /THIS ROUTINE ZEROES OUT THE MOST RECENTLY + TAD M4 /DISPLAYED PROJECTILE BY ZEROEING THE + TAD BUFTMP /COUNT + DCA CUTPNT + DCA I CUTPNT + JMP I CUTOUT + +CUTPNT, 0 + + +/ +/ THIS ROUTINE IS CALLED TO TEST FOR A COLLISION BETWEEN THE +/ TWO SHIPS. THE COORDINATES OF BOTH ARE COMPARED +/ AND IFF SUFFICIENTLY CLOSE BOTH ARE DESTROYED BY SETTING +/ THEIR EXPLOSION COUNTS NON-ZERO. +/ + + +COLLID, 0 /HERE TO TEST FOR COLLISION + TAD ONEFLG /NO TEST IF EITHER SHIP IS IN + SZA CLA /HYPERSPACE OR EXPLODING + JMP I COLLID + TAD TWOFLG + SZA CLA + JMP I COLLID + TAD ONEOUT + SZA CLA + JMP I COLLID + TAD TWOOUT + SZA CLA + JMP I COLLID + + TAD ONEPEX /BOTH SHIPS AVAILABLE FOR COLLISION + CIA /CHECK X COORDINATES FIRST + TAD TWOPEX + SPA /GET ABSOLUTE VALUE OF DIFFERENCE + CIA + TAD COLLIM /CLOSE ENOUGH? + SMA CLA + JMP I COLLID /NOPE, FORGET IT + + TAD ONEPEY /YES, NOW TRY THE Y COORDINATES + CIA + TAD TWOPEY + SPA + CIA /GET MAGNITUDE ONLY + TAD COLLIM + SMA CLA /CLOSE ENOUGH? + JMP I COLLID + TAD MEXP /YES, SET BOTH EXPLOSION COUNTS + DCA ONEOUT + TAD MEXP + DCA TWOOUT + JMP I COLLID + +COLLIM, -300 + +/ +/ THIS ROUTINE IS CALLED TO SET ONE OF THE TWO SHIPS INTO +/ HYPERSPACE. ON ENTRY THE AC=-1 FOR SHIP #1, 0 FOR SHIP +/ NUMBER 2. THE LOCATION CLOCK IS USED FOR A RANDOM +/ ADDRESS POINTER FROM WHICH WILL BE DRAWN THE +/ VARIOUS PARAMETERS FOR REENTRY. +/ + + *2200 + +HYPSET, DCA RTNFLG /HERE WITH AC=-1 OR 0 + TAD RTNFLG /SET UP LIST POINTER + SZA CLA + TAD ONEDIF /TO APPROPRIATE SHIP FILE + TAD TWOLST + DCA AUTO15 + + TAD CLOCK /SET UP "RANDOM NUMBER GENERATOR" + DCA AUTO17 + TAD I AUTO17 /PICK UP FIRST THE AMOUNT OF TIME + AND TIMOUT /OUT OF NOMAL SPACE LIMITED TO -777 + CIA /UPDATE CYCLES ( ABOUT 15 SECONDS) + DCA I AUTO15 /AND STORE IN ONEOUT OR TWO OUT + + TAD I AUTO17 /THE NEXT RANDOM NUMBER BECOMES THE + JMS I THEADJ /ANGLE OR ORIENTATION ON REENTRY + DCA I AUTO15 + TAD I AUTO17 /AND THE NEXT BECOMES THE X VELOCITY + JMS VEESET /COMPONENT + DCA I AUTO15 + TAD I AUTO17 /AND THEN THE Y COMPONENT + JMS VEESET + DCA I AUTO15 + TAD I AUTO17 + DCA I AUTO15 + + TAD I AUTO17 + DCA I AUTO15 + + TAD I AUTO17 /FINALLY SEE IF RETURN WILL BE SUCCESSFLY + AND TIMOUT + TAD MHYP /ABOUT 3/4 CHANCE + SMA CLA + JMP HYPRET /OK + TAD RTNFLG /THIS IS THE ONE TIME IN FOUR. SET + SZA CLA /UP FOR EXPLOSION ON REENTRY + TAD ONEDIF + TAD OUTLOC + DCA VEESET + TAD MEXP + DCA I VEESET + +HYPRET, ISZ RTNFLG + JMP I TWORTN + JMP I ONERTN + +TIMOUT, 777 +ONEDIF, ONEFLG-TWOFLG +TWOLST, TWOFLG-1 +RTNFLG, 0 +ONERTN, TWOUP +TWORTN, ONESET +OUTLOC, TWOOUT +MHYP, -200 + + + +VEESET, 0 /HERE TO LIMIT VELOCITY COMPONENTS + CLL + SPA /GET MAGNITUDE + CML + AND HM177 /LIMIT TO 177 + SZL CLL + CIA + JMP I VEESET /AND EXIT + +HM177, 177 + +ONEEXP, CLA CLL /HERE TO DISPLAY SHIP NUMBER ONE AS + TAD ONETHE /AN EXPLOSION + TAD INCONE /FIRST ROTATE IT BY A GOOD DOLLOP + DCA ONETHE + JMS I IXPDIS /THEN CALL THE EXPLOSION GENERATOR + ISZ ONEOUT /DONE WITH THE EXPLOSION? + JMP I NOWTWO /NO, NORMAL RETURN + + IAC /YES, SET INTO PSEUDO HYPER SPACE + DCA ONEFLG + IAC /DISABLE RETURN FROM HYPER SPACE + DCA ONEFIN + + TAD TWOFIN /IS NUMBER TWO STILL AROUND? + SNA CLA + JMP I NOWTWO /YES, RETURN + JMP I TIEUP /NO, TIE BALL GAME + + +TWOEXP, CLA CLL /HERE TO DISPLAY SHIP NUMBER TWO + TAD TWOTHE /AS AN EXPLOSION. BASH IT AROUND + TAD INCTWO + DCA TWOTHE + JMS I IXPDIS /THEN DISPLAY IT + ISZ TWOOUT /DONE WITH EXPLOSION? + JMP I NOWPRO /NO, NORMAL RETURN + + IAC /YES, SEND INTO PSEUDO HYPER SPACE + DCA TWOFLG + IAC /DISABLE NORMAL RETURN FROM HYPERSPACE + DCA TWOFIN + /CHECK NUMBER ONE + TAD ONEFIN + SZA CLA /STILL ALIVE AND WELL? + JMP I TIEUP /NO, TIE GAME + JMP I NOWPRO /YES, CONTINUE ON +NOWTWO, TWODIS +NOWPRO, PRODIS +TIEUP, NOWIN +IXPDIS, EXPDIS +INCONE, 55 +INCTWO, 55 + + +/ +/ HERE TO DISPLAY THE FIGURE POINTED TO BY AUTO10 AS +/ AN EXPLOSION. THIS WORKS THE SAME WAY AS THE NORMAL +/ DISPLAY ROUTINE EXCEPT THAT THE COORDINATE INCREMENTS +/ ARE INVERTED TURNING THE FIGURE INSIDE OUT FOR S +/ A SORT OF CLOBBY EXPLOSION. +/ + + *2400 + +EXPDIS, 0 /HERE TO DISPLAY A FIGURE INSIDE OUT + TAD I AUTO10 /WITH THE POINTERS AND COUNTS ALREADY + DCA XTWODS /SET UP BY FILDIS OR TWODIS + TAD I AUTO10 /STICK NEXT TWO POINTS INTO LINE + DCA YTWODS + + TAD XTWODS + CIA /CALCULATE INCREMENT THE WRONG WAY + TAD XONEDS + DCA DIXTEM /AND STORE + TAD YTWODS + CIA + TAD YONEDS + DCA DIYTEM /SAME FOR Y + + TAD M4 /4 DOTS IN THE VECTOR" + DCA DISCNT /COULD HAVE CALLED THE OTHER + /VECTOR GENERATOR I SUPPOSE +EXPLOP, TAD XONEDS + TAD DIXTEM /ADD X AND Y INCREMENTS TO THE RUNNING + DCA XONEDS /TOTALS AND DISPLAY THE RUNNING + TAD YONEDS /TOTALS NORMAL SIZE + TAD DIYTEM + DCA YONEDS + + TAD XONEDS + RTR /COULD MAKE TWICE AS BIG BY NOP-ING + NOP / RAR /THE RAR'S BUT THE SCREEN IS SMALL ENOUGH + DILX / DXC DXL KKKK /AS IT IS + CLA + TAD YONEDS + RTR + NOP / RAR + DILY / DYC DYL DIS + CLA + ISZ DISCNT /DONE 4 DOTS? + JMP EXPLOP /NO + + ISZ AUTO11 /DONE ALL VECTORS IN THE FILE? + SKP + JMP I EXPDIS /YES, EXIT + + TAD XTWODS /NO SWAP TO NEXT PAIR OF POINTS + DCA XONEDS + TAD YTWODS + DCA YONEDS + JMP EXPDIS+1 + + + +/ +/ VEELIM IS THE SCALING ROUTINE FOR VELOCITY COMPONENTS. +/ THE COMPONENTS ARE SCALED TO REMAIN IN THE RANGE 140 +/ TO -140. THIS IS NECESSARY TO AVOID ASTRONOMICAL SPPED +/ BUILDUP ON THE SMALL SCREEN. UNFORTUNATELY THE X AND Y +/ COMPONENTS ARE SCALED SEPARATELY WHICH GIVES SLIGHT BUT +/ NOTICABLE DISTORTIONS IN DIAGONAL FLIGHT PATHS. IN THE +/ NORMAL HEAT OF THE BATTLE NO ONE WILL REALLY NOTICE. +/ + + +VEELIM, 0 /ENTER TO SCALE VELOCITY HELD IN + DCA VEEHLD /AC + TAD VEEHLD + SMA /BRANCH FOR POSITIVE OR NEGATIV + JMP VEEPOS + TAD VEEMAX + SMA CLA /GREATER THAN MAXIMUM POSITIVE? + JMP VEECLR /NO + TAD VEEMIN /I MEAN MAXIMUM NEGATIVE - YES SET + JMP I VEELIM /TO MAX NEGATIV + +VEEPOS, TAD VEEMIN /GREATER THAN MAX? + SPA CLA + JMP VEECLR /NO + TAD VEEMAX /YES SET TO MAX + JMP I VEELIM + +VEECLR, TAD VEEHLD /IT WAS IN RANGE ALL ALONG + JMP I VEELIM + +VEEHLD, 0 +VEEMIN, -140 +VEEMAX, 140 + +THEAJI, 0 /HERE TO ADJUST THE ANGLE TO A RANGE + SMA /0-550 OR 0-360 DEGREES. THIS IS + JMP .+3 /NECESSARY TO INSURE THAT PUSHDOWN OVERFLOW + TAD P550 /WILL NOT HAPPEN IN THE SINE AND COSINE + JMP .-3 /ROUTINES. THIS SIMPLY TAKES THE AC + TAD M550 /MODULO 360 AND EXITS + SMA + JMP .-2 + TAD P550 /FOLLOW IT THROUGH AND SEE IF IT DOESN'T + JMP I THEAJI + + +/ +/ ONE OF THESE ROUTINE IS ENTERED WHEN A WINNER IS DECLARED. +/ THE ADDRESS OF THE VICTORY MESSAGE IS PLACED IN MESS AND +/ THE GAMOVR FLAG SET TO CAUSE A BRANCH TO JOBLOP WHEN THE +/ DISPLAY CYCLE IS COMPLETED. THE ROUTINE WILL THEN DISPLAY +/ THE APPROPRIATE MESSAGE OVER THE REMAINING SHIPS IF +/ ANY UNTIL THE KEYBOARD IS MOLESTED OR THE CLOCK RUNS OUT +/ AND THE NEXT DISPLAY UPDATE CYCLE IS SET. AT ANY RATE THE +/ PROGRAM WILL REACH HERE ONLY WHEN SOMEONE HAS BITTEN THE +/ INTERGALACTIC DUST. +/ + + +ONEWIN, 0 /THIS IS CALLED WHEN TWOFIN IS SET + TAD MES1 /AND ONE FIN IS NOT. SET ONE TO VICTOR + DCA MESS /AND SET GAMOVR FLAG + IAC + DCA GAMOVR + TAD MESS6+3 + JMS INCREM + DCA MESS6+3 + DCA PNTCNT + JMP I ONEWIN /THEN RETURN TO UPDATE CYCLE + +TWOWIN, 0 /THIS IS CALLED WHEN ONEFIN IS SET + TAD MES2 /AND TWO FIN IS NOT + DCA MESS /SET ALSO GAMOVR + IAC + DCA GAMOVR + TAD MESS6+1 + JMS INCREM + DCA MESS6+1 + DCA PNTCNT + JMP I TWOWIN +/ +INCREM, 0 + TAD PNTCNT + BSW + TAD P600 + SMA + TAD P6601 + TAD M600 + BSW + JMP I INCREM +/ +NOWIN, TAD MES4 /GET HERE WHEN BOTH ONEFIN AND TWOFIN + DCA MESS /ARE SET . + IAC + DCA GAMOVR /NOBODY EVER REALLY WINDS + /UP THE WINNER IN THESE THINGS +JOBLOP, JMP IDLE / DSB 1 KKKK /THIS IS ENTERED FROM FINISH WH + TAD MES0 /GAMOVR IS SET AND SERVES TO DISPLAY + JMS I MESOUT /THE VICTORY MESSAGE ON THE SCREEN + TAD MESS /USING THE CHARACTER GENERATOR SOMEWHAT + JMS I MESOUT /FURTHER ON UNTIL THE GAME IS RESTARTED + TAD MES5 /OR UNTIL THE INTERRUPT COUNT OVERFLOWS + JMS I MESOUT /AND THE UPDATE CYCLE IS RESTARTED + TAD MES3 + JMS I MESOUT + TAD MES6 + JMS I MESOUT +FINITO, JMP JOBLOP + +MES0, MESS0 +MES1, MESS1 +MES2, MESS2 +MES3, MESS3 +MES4, MESS4 +MES5, MESS5 +MES6, MESS6 +MESS6, 5473 + 6060 + 4040 + 6060 + 0 + +/ +/ THE FOLLOWING ARE THE SINE AND COSINE ROUTINES CUSTOMIZED +/ FOR THIS PROGRAM FROM ANOTHER I WORKED ON. CALL EITHER +/ SINE OR COSINE WITH ANGLE IN DEGREES IN AC. THE ARGUEMENT +/ IS REDUCED THROUGH RECURSION UNTIL BETWEEN 0-89 DEGREES +/ AND THEN A TABLE LOOKUP DONE TO OBTAIN THE VALUE. IT TAKES +/ UP A FAIR AMOUNT OF SPACE BUT IT WORKS JUST FASTER +/ THAN SHEEP. THE COSINE CALL JUST TRANSFORMS THE ARGUEMENT +/ THROUGH SOME TRIGONOMETRIC GARBAGE AND CALLS THE SINE +/ ROUTINE. NOTE THAT CALLING EITHER ROUTINE WITH TOO +/ LARGE AN ARGUEMENT WILL CAUSE PUSHDOWN OVERFLOW AND THEN +/ ALL HELL WILL BREAK LOOSE. THE ORIGINAL ROUTINE FROM WHICH +/ THIS WAS STOLEN HAD FULL WORD PRECISION. +/ + + *6400 + +SINEIN, 0 /I REALLY CANT BRING MYSELF TO COMMENT + DCA SINARG /THIS. IT'S VERY STRAIGHFORWARD + TAD SINEIN + DCA I SINPSH + ISZ SINPSH + TAD SINARG + SZA + JMP SINNG2 + +SINPOP, CLA CLL CMA + TAD SINPSH + DCA SINPSH + TAD I SINPSH + DCA SINEIN + TAD SINARG + JMP I SINEIN + +SINNG2, SMA + JMP SINPOS + CIA + JMS SINEIN + +SINNEG, CIA + DCA SINARG + JMP SINPOP + +SINPOS, TAD M264 + SPA + JMP .+2 + JMP SINNEG-1 + TAD P132 + SPA + JMP SINELK + SZA CLA + JMP .+3 + TAD P37 + JMP SINNEG+1 + + TAD SINARG + TAD M264 + JMP SINNEG-1 + +SINELK, TAD P132 + TAD SINTAB + DCA SINEIN + TAD I SINEIN + DCA SINARG + JMP SINPOP + + + +SINARG, 0 +SINPSH, SINLST +SINLST, 0 + 0 + 0 + 0 + 0 + 0 + +SINTAB, SINES-1 + +COSINI, 0 + CIA + TAD P132 + JMS SINEIN + JMP I COSINI + + + +SINES, 00 /1 + 01 /2 + 01 /3 + 02 /4 + 02 /5 + 03 /6 + 03 /7 + 04 /8 + 05 /9 + 05 /10 + 06 /11 + 06 /12 + 07 /13 + 07 /14 + 10 /15 + 10 /16 + 11 /17 + 11 /18 + 12 /19 + 12 /20 + 13 /21 + 13 /22 + 14 /23 + 15 /24 + 15 /25 + 16 /26 + 16 /27 + 17 /28 + 17 /29 + 20 /30 + 20 /31 + 20 /32 + 21 /33 + 21 /34 + 22 /35 + 22 /36 + 23 /37 + 23 /38 + 24 /39 + 24 /40 + 25 /41 + 25 /42 + 25 /43 + 26 /44 + 26 /45 + 27 /46 + 27 /47 + 27 /48 + 30 /49 + 30 /50 + 30 /51 + 31 /52 + 31 /53 + 31 /54 + 32 /55 + 32 /56 + 32 /57 + 33 /58 + 33 /59 + 33 /60 + 33 /61 + 34 /62 + 34 /63 + 34 /64 + 35 /65 + 35 /66 + 35 /67 + 35 /68 + 35 /69 + 36 /70 + 36 /71 + 36 /72 + 36 /73 + 36 /74 + 36 /75 + 37 /76 + 37 /77 + 37 /78 + 37 /79 + 37 /80 + 37 /81 + 37 /82 + 37 /83 + 37 /84 + 37 /85 + 37 /86 + 37 /87 + 37 /88 + 37 /89 + + + +MULTI, 0 /THIS IS STANDARD SINGLE PRECISION + CLL /MULTIPLY ROUTINE WHICH WAS ONCE + SPA /USED. I'VE LEFT IT IN SINCE + CMA CML IAC /THERE IS LOTS OF CORE LEFT OVER AND + DCA MULMP1 /MAYBLE SOMEDAY I'LL NEED IT TO PUT + DCA MULMP5 /IN A SUN OR SOMETHING. THIS IS THE + TAD I MULTI /STANDARD DEC SUBROUTINE WITH DIFFERENT + SNA /LABELS + JMP MULPSN+2 + SPA + CMA CML IAC + DCA MULMP2 + TAD MULTHR + DCA MULMP3 + +MULMP4, TAD MULMP1 + RAR + DCA MULMP1 + TAD MULMP5 + SZL + TAD MULMP2 + CLL RAR + DCA MULMP5 + ISZ MULMP3 + JMP MULMP4 + TAD MULMP1 + RAR +MULPSN, SZL + JMP MULCMP + DCA MULMP1 + TAD MULMP5 +MULMPZ, ISZ MULTI + JMP I MULTI + +MULCMP, CMA CLL IAC + DCA MULMP1 + TAD MULMP5 + CMA + SZL + IAC + JMP MULMPZ + +MULTHR, 7764 +MULMP1, 0 +MULMP5, 0 +MULMP2, 0 +MULMP3, 0 + + +/ +/ SHIFTR DIVIDES THE AC BY TWO WHETHER POSITIVE OR NEGATIVE +/ AND IS CALLED FROM VARIOUS PLACES. NOT ENTIRELY MYSTERIOUS +/ + + +SHIFTR, 0 + CLL + SPA + CML IAC + RAR + JMP I SHIFTR + + +/ +/ POSCAL IS CALLED TO CALCULATE THE COORDINATE INCREMENTS +/ NECESSARY TO PRODUCE THE SHIP FIGURES. RATHER THAN DOING +/ A LOT OF EXPENSIVE MATH THIS DOES A QUICK PRODUCTION +/ OF 1, 2, AND 3 TIMES THE SIN AND COSINE VALUES FOUND +/ IN CALSIN AND CALCOS LEAVING THEM IN THE TABLE FOR +/ ONESET AND TWOSET. IF THE SCOPE WERE ANY BETTER +/ THIS PROBABLY WOULDN'T BE NEAR GOOD ENOUGH BUT.... +/ + +POSCAL, 0 + TAD CALSIN + + DCA T10SIN + TAD T10SIN + CLL RAL + DCA T20SIN + TAD T10SIN + TAD T20SIN + DCA T30SIN + + TAD CALCOS + + DCA T10COS + TAD T10COS + CLL RAL + DCA T20COS + TAD T10COS + TAD T20COS + DCA T30COS + JMP I POSCAL + + + *7000 + +/GENERAL PURPOSE SYMBOL GENERATOR +/ +CHARS, 0 /ENTRY TO PLOT CHARACTER STRING + DCA ADDR /STORE STRING ADDRESS + TAD I ADDR /FETCH DOUBLE CHARACTER + RTR /SHIFT + RTR / FOR FIRST + RTR / CHARACTER + JMS CHAR /PLOT CHARACTER + SKP /NORMAL RETURN -- SKIP + JMP I CHARS /TERMINATION RETURN -- EXIT + TAD I ADDR /RECALL DOUBLE CHARACTER + ISZ ADDR /ADVANCE STRING ADDRESS + JMS CHAR /PLOT CHARACTER + JMP CHARS+2 /NORMAL RETURN -- REPEAT + JMP I CHARS /TERMINATION RETURN -- EXIT +/ +CHAR, 0 /ENTRY TO PLOT SINGLE CHARACTER + AND K77 /MASK OUT UPPER BITS + CLL RAL /MULTIPLY CODE BY TWO + TAD TABLE /ADD TABLE BASE ADDRESS + DCA POINT /CONSTRUCT POINTER TO 24-BIT CODE + CMA /INITIALIZE COUNTER FOR + DCA COUNT2 / TWO PLOT WORDS + TAD I POINT /FETCH FIRST PLOT WORD + ISZ POINT /INCREMENT POINTER FOR NEXT ONE + SNA /SKIP IF NOT SPECIAL CHARACTER + JMP SPCHAR /ELSE GO PROCESS IT + DCA CURPLT /SAVE CURRENT PLOT BITS +XPLOT, TAD KM6 /INITIALIZE 6-BIT + DCA COUNT6 / COUNTER + TAD YVALUE /RESET Y TEMPORARY + DCA YTEMP / VALUE FOR CHARACTER + TAD XVALUE /OUTPUT CURRENT + RAL + MQL + MQA +/ DILX / DXC DXL / KKKK X-VALUE TO CRT + RAR + TAD XINCR /INCREMENT + DCA XVALUE / ABSCISSA +YPLOT, TAD CURPLT /RECALL CURRENT PLOT BITS + CLL RAL /GET NEXT BIT + DCA CURPLT /SAVE REMAINING PLOT BITS + SNL /SKIP IF POINT TO PLOT + JMP CNTINU /ELSE JUMP AHEAD + TAD YTEMP /OUTPUT CURRENT + RAL + DILY / DYC DYL DIS /KKKK / Y-VALUE TO CRT + MQA CLA + DILX + CLA CLL /CLEAR AC + TAD CURPLT /RECALL CURRENT PLOT BITS + SNA CLA /SKIP IF POINTS REMAINING + JMP WRDEND /ELSE WORD IS FINISHED +CNTINU, TAD YTEMP /INCREMENT TEMPORARY + TAD YINCR / Y-VALUE FOR NEXT + DCA YTEMP / CHARACTER STEP + ISZ COUNT6 /SKIP IF 6 BITS PLOTTED + JMP YPLOT /ELSE PLOT NEXT ONE + JMP XPLOT /GO UPDATE X-VALUE +WRDEND, ISZ COUNT2 /SKIP IF ANOTHER BIT WORD + JMP EXIT /ELSE EXIT + TAD I POINT /FETCH SECOND BIT WORD + SZA /SKIP IF NO PLOT POINTS + JMP XPLOT-1 /ELSE GO PLOT THEM +EXIT, TAD XVALUE /INCREMENT ABSCISSA + TAD XINCR / FOR SPACE BETWEEN + DCA XVALUE / SYMBOLS + JMP I CHAR /EXIT FROM CHAR +/ +SPCHAR, TAD I POINT /FETCH TRANSFER VECTOR + DCA POINT /STORE AS INDIRECT ADDRESS + JMP I POINT /GO TO APPROPRIATE ROUTINE +SPACE, TAD XINCR /FETCH BASIC ABSCISSA INCREMENT + CLL RTL /MULTIPLY BY FOUR AND + JMP EXIT / GO CREATE SPACE +CRLF, TAD INITX /"CARRIAGE RETURN" RESETS X + DCA XVALUE / TO ITS ORIGINAL VALUE +LF, TAD YINCR /"LINE FEED" + CLL RTL / DECREMENTS THE + CLL CIA RAL / Y-VALUE BY + TAD YVALUE / EIGHT SCALE + DCA YVALUE / STEPS + JMP I CHAR /EXIT FROM CHAR +RESET, TAD INITX /"RESET" RESETS + DCA XVALUE / X AND Y TO + TAD INITY / THEIR ORIGINAL + JMP RESET-2 / VALUES +TERM, ISZ CHAR /TERMINATE CODE CAUSES + JMP I CHAR / EXIT TO P+2 +/ +INITX, -150 /INITIAL X-VALUE +INITY, 327 /INITIAL Y-VALUE +XVALUE, 0 /CURRENT X-VALUE +YVALUE, 0 /CURRENT Y-VALUE +XINCR, 6 /BASIC X INCREMENT VALUE +YINCR, 10 /BASIC Y INCREMENT VALUE +YTEMP, 0 /TEMPORARY Y-VALUE +CURPLT, 0 /CURRENT PLOT BITS +ADDR, 0 /CURRENT STRING ADDRESS +COUNT6, 0 /6-BIT COUNTER +COUNT2, 0 /2-WORD COUNTER +KM6, -6 /CONSTANT FOR COUNT6 +K77, 77 /CHARACTER CODE MASK +POINT, 0 /TABLE POINTER +/ + +/ +TABLE, .+1 /TABLE BASE ADDRESS + 0 /SPECIAL CHARACTER (00) + TERM /TERMINATION CODE + 7611 / A + 1176 + 7745 / B + 4532 + 3641 / C + 4122 + 7741 / D + 4136 + 7745 / E + 4541 + 7705 / F + 501 + 7741 / G + 5173 + 7710 / H + 1077 + 4177 / I + 4100 + 2040 / J + 4037 + 7714 / K + 2241 + 7740 / L + 4040 + 7702 / M + 277 + 7706 / N + 3077 + 7741 / O + 4177 + 7705 / P + 502 + 3641 / Q + 6176 + 7715 / R + 2542 + 2245 / S + 5122 + 177 / T + 100 + 3740 / U + 4037 + 1720 / V + 4037 + 7730 / W + 3077 + 4136 / X + 3641 + 374 / Y + 7403 + 6151 / Z + 4543 + 7741 / [ + 0 + 204 / \ + 1020 + 4177 / ] + 0 + 436 / ^ + 400 + 0 /SPECIAL CHARACTER (37) + RESET /RESET + 0 /SPECIAL CHARACTER (40) + SPACE /SPACE + 5600 / ! + 0 + 303 / " + 0 + 1477 / # + 7714 + 2277 / MARKER + 2200 + 2313 / % + 6462 + 7777 / BLOCK + 7777 + 300 / ' + 0 + 3641 / ( + 0 + 4136 / ) + 0 + 4040 / UNDERSCORE (52) + 4040 + 1034 / + + 1000 + 0 /SPECIAL CHARACTER (54) + LF /LINE FEED + 1010 / - + 1000 + 4000 / . + 0 + 2010 / / + 402 + 3641 / 0 + 4136 + 4442 / 1 + 7740 + 4261 / 2 + 5146 + 2145 / 3 + 5321 + 1710 / 4 + 1077 + 4745 / 5 + 4531 + 7750 / 6 + 5070 + 6111 / 7 + 503 + 2255 / 8 + 5522 + 705 / 9 + 577 + 2400 / : + 0 + 0 /SPECIAL CHARACTER (73) + CRLF /CARRIAGE RETURN; LINE FEED + 1024 / > + 4200 + 1212 / = + 1200 + 4224 / < + 1000 + 255 / ? + 300 + + +/ +/ HERE FOLLOW THE PACKED ASCII TEXTS FOR THE VARIOUS +/ VICTORY MESSAGES. PERSONS ADVENTEROUS TO FIND THIS MIGH CARE +/ TO TOGGLE IN SOME CUTE LITTLE MESSAGES OF THEIR OWN. +/ + +MESS0, 3773 +MESS5, 7340 + 0 +/ 4040 +/ 4040 +/ 4000 + +MESS1, 2427 /"TW" + 1700 /"O\" + +MESS2, 1716 /"ON" + 0500 /"E\" + +MESS3, 2711 /"WI" + 1623 /"NS" + 4100 /"!\" + +MESS4, 1617 /"NO" + 0217 /"BO" + 0431 /"DY" + 0000 /"\" + + + *4000 +/ KK: ADDED STARS IN THE SKY +/ +FINIS1, TAD I SB + RAR / SCALE COORDINATES + TAD M1000 / SHIFT TO LEFT + MQL + CLA CLL + ISZ SB + TAD I SB + SNA + JMP FINIS3 / END OF STARS + RAR / SCALE COORDINATES + TAD M1000 / SHIFT DOWN + DILY + MQA CLA + DILX + ISZ SB + CLA CLL + TAD M100 / A LITTLE DELAY +FINIS2, IAC + SZA + JMP FINIS2 + JMP FINIS1 +/ +FINIS3, TAD SBI / REINITIALIZE STAR-POINTER + DCA SB + TAD ONE2 / AT THE REAL END: + CMA / POSITION DOT EITHER TO + DCA ONE2 / SHIP1 OR SHIP2 + TAD ONE2 + SNA CLA + JMP FINIS4 + TAD LASTX + DILX + CLA + TAD LASTY + DILY + JMP . +FINIS4, TAD LASTX1 + DILX + CLA + TAD LASTY1 + DILY + JMP . +ONE2, 0 +/ +/ STARS COME FROM THE 24-VOLUME "DTV-TASCHENLEXIKON" +/ + *4200 + DECIMAL +STARS, / PEGASUS + 425; 1665 + 490; 1730 + 615; 1740 + 682; 1735 + 920; 1770 + 725; 1615 + 680; 1575 + 425; 1665 + 682; 1735 + 725; 1615 + /CANCER + 1500; 632 + 1365; 615 + 1445; 585 + 1445; 545 + /URSA MAJ. + 725; 640 + 725; 640 + 800; 665 + 800; 665 + 855; 660 + 855; 660 + 885; 685 + 975; 740 + 975; 740 + 925; 640 + 925; 640 + 990; 690 + 990; 690 + 1000; 570 + 1110; 560 + 1150; 590 + 1140; 700 + 1200; 700 + 1200; 730 + /ORION + 1710; 1180 + 1710; 1180 + 1680; 1130 + 1730; 1080 + 1730; 1080 + /SERPENS + 190; 520 + 230; 510 + 230; 510 + 280; 490 + 300; 560 + /OPHIUCHUS + 100; 1000 + 60; 880 + 130; 885 + 130; 885 + 130; 750 + /ERIDANUS + 1660; 1730 + 1700; 1680 + 1740; 1630 + 1810; 1600 + /POLARSTERN + 950; 1025 + 950; 1025 + 859; 1011 + 810; 975 + 719; 939 + 800; 932 + 750; 882 + 790; 870 + 790; 870 + /EICHUNG +/ 1; 1 +/ 2000; 1 +/ 2000; 2000 +/ 1; 2000 + 0; 0 + OCTAL + *7400 + +DISBUF, 0 + +/ THE DISPLAY BUFFERS BEGIN HERE AND EXTEND UP SOMEWHERE TO +/ AROUND 7575 OR SO. +/ +/ +/ +/ +/ + + + + $ + +//////////////////////////// +/ +/ THIS IS THE END +/ +/////////////////////////// + + \ No newline at end of file -- 2.32.0

^=cRsItS7VypNkaE-j-|SaU47~%BPWx4S26dK`!@d1b=PMT&>y~p+t9H0VpUmQ zPn~<%mn&#DWXh^T~ytwnTnziaK9`rWa3pVlfQlqY7YUe+t zI=;_^4E{QU>li!_et)aY%H~`H$<8wfTmC=fHP6Pro;_q<&E?{5yIwuMzuwNUZ(Saw za9$bjWXoHQ0`Q$z);)nUaaezOl5Ng+=8E|~_^(}u30G~-qOnCIN692BSZT|d-l5)t zmZ1$VHPz##$4z>LL<7PY{|@hB#{%EZU9I;9rYqzoQgzo4em&D4r3c;duj>FUR5rF> z9u}=~xc4+GoJa3^-r>l+ez@4+OV&Q2CHu!m#)6up-3)TxA;YU0!CyS90T=H2fz1Q91J879cW)f{R|FJ4g+Icba!l0x#ZQO(qtr?p6W`+2Dg7&o zAw7m@TtcQ-l1Euz(cKC}v34=857QU#CGSTR_Z5kFNJvHh)W9Cae?9Y?55IffKsd%t z(A`)Q_@9BjWmp=(sP3s?o%G-2G(K2N3Cz0Uh%*s*h?Mu!X~#q^y)7Natg8Wecs*B zeoFU@YF$I4ZFyjJ+Kw@eL=?`1j=PL|w*J?v0&REWWV=EL%yr$v4_MKCOsK8PDKl4f zcf7!_+K_>kaF){EaNB z>^B-~SnIde=$DC4;3(r~Oh$beHz`g9SP8`RV5dI<0@q|=0M0jh~tBLbTgEQ(bV7#+kfAZjNDjhwYnB8=Sslt&H zi;y3!_rrRNjKppY0t_rdG!fz~{t znz`7b>_H=tGkB12HFI5yds`d88NA#v*{MNX8(GdD*96kdk4Dt{==tv_PNm^*Ouq z2vh_1;KRX9uHQeSbegD$H}kS48W72N&5tr(7|{_l)u`hSdXC_Oe;3}_<-;iG}!jj95Kaz<10AYNdA zJ>6WPIi2XN^cs8H_ri9iECIJ)V`Kj$!5JXHyUdM1f?Jx}R>64Y!%RD;B{p|Z$E56= z(mGt;OW-X|2C26~fa1tMm`#EzTx-?7!qwN~EFb7Ll-W7mvgTPBqJQ-1P)i6d$*JvKXHW@H z#hT#n4n`904hF4yX{8Bb(@zOf97~in!R$d5A-#u+!5asTS5fC-CYC<>O|i0?`nToml4ei>|J`UJ7JZBBk6 zIMYiR0ui>ApPG414GZby8Q?GR4X36Y$h6! zADTK0*$j1RQB=aAH!q*oNjPT(RT;mwtQ}gndg!1@^#yxNM}y-&IC3Z1EF_8|gQVA*d#=o3)ce8Fkfg4I!#9Ot7d>2O(=sQAE8 zoTY%V^=#S}{Q7jrYE-uC5b)osH50oQp9T$;tRH9I8nP>j9$Hi7_ut)C9byWh$n>z6 z@bqJIW4!D2XSpi~4Sad?>mf_nz%|a^ZXb`XGsU*Y3(_ZwvxXK}%0srOtRZrFp=t!q z<)nzcg4k~v-UIWpZM7M~reCZmQ@SVDIF5BbpOG>?ORE1MoKHkQlT6>`f;JlPv?r`1iZI8RaY|?Tg%yh1;4s_0+9mSAwAMlAbYU4 zXoV0u#Q*P>pcaU7+-(Iz4+$_NWjJ-{FYzg$KctE$lv!sq56%iafIn7K?bkK`ScMFA z4X#s)CH)oLR?HZ@?c0k2-rYL-0k1nWFc^TQ>+cYL!nOh0LNnI4hZLt`%f3#@i(7{p6g{xfnB+!@@_wB! zhVM{X%G+U@ASd8)-x}-zOknzIm3Evqu!DF+=rm+1T((N4?SUg=YcGt&xF4_+ z_Bd}AS~#w^e`8}MG}{y>ggLGt9ARITKJ{Mj`vY_#eIR~&Ohc9OE%iptaG?dclypt# z40-jRY;#LDc9PKj07NkaD*Ot}T0h-heE>6PepRRptW9hYL5_c z>xBdotk_NP6nHGWME|Np6Y7!B#q;T-Mf2&J;4XM;)l{Ca zs*!vJ2N=r3@zD2rz%*eO?0aRahb4s6JHver#p|suGbn2edexEF^Z@-wI9T zqZMDxxW~J^hxanl0?ZwChW|b?Fyh)@IQSatVW6(<2HjkA_XQ3YV$Kh*9R{j%Hhs@> zO52wf*MuDm#e3d!R+3YZ%DlWb_N5Ic=$uvTL4z+Hob{Z<_czN97_`21{ za4`d_qLJafTH*$M$6lO{9Z~5~*He{W483=g2CzDAP^z-W0f)?Qo|U$&Y~|Iz`TQNR zr`CH}0{`PCVV@3XYRHC@%WmlV+`G2((Xa?pk9XrApncFtaeUMz*_q<_x2g;G=nA*t zH!jMtgP|u{H?*kjQ<*Pl9~v%e?K!!}+C!25@WIu$9&MfZ0q`4{S1q-%@1KW=%tgVP zw}#tvYIOTRcUfVHd{qANB{G+aaAJ$Z{)W7?7;`X#BVOxdTh|vCRiE4zIbtk+9?we3w zkcJG`VWOZHRbb)|R<*$WX9#C)4(T);WGh_E9n75CGpV~*?_^py>i28oJ4KcS7}KGL zaH3@j+_G@FIDEk51=088E#eE z8~T9AsEUTk$3%#j-bws~LlL+WcI${;P>SnttjHvDM~9pEvKR~N6S(&q_!IMP$5}%+BemMT|y(m<4`r3c! z`CMm0l%tW@6aN6s6kWcP3=bA}w;mH$x7%YQ#2fq658vJX4h3)BRpAKLs1uqyoN3wa z^9`EGPZXE5i46r?_8LAM)CB2b9h8GOOq`ZG+PW+2vv?I=6Wk`Qu6Cz|i=Eq+Q3eLf zegs&wxL0eqYIWOH@%qfm7(=#J`$W~$aIxsZuqh1RW{*XPwM+<^IPpTqmJB`7?&faM z)0XaGUl=m|C?K$IFTR#BC}w}Qs&lcQ75g4$JdrAEzRAo+|9$k z;F(Qubd5U!Rzm2+P}})!zlQBJs-G}B9mOuI-EqBxGs8(--*vM`P2A_>Ig-_p@QmMXflhDUwSQY#frj@% zYw>}uZQ>EpWv)b&ZuwNj0)3;4283>p9jf#CG5CIPq||pLxZ9yeMW7COG(-U(F|Llk zxAtg{YghZ)Pp!i0($e-y@iMAa*q{+4m^rWi@uYYg__6B2$ej*P-+FxHfko@@{X?wq zDwtDxTaWk_I!{ca?-l=jE^fS_cefh=Pm1EZ-nDdyTSbGX+-W=T6p=Iff2xLzE`_2I zOLUjQ($JF;8|uey6>-$wv@Msc7IDVhx~?#>ZdO>vmW&6Y>|7f?Re{5hChA3Yl;c^Y z7G8dT61HXJTZbcmmG0g5YnyMOckfcIo?v{?h->QcZr3nU+xzlB=gw9FsEEodr~%Q$ z`y)iJ--1L<1F=86pu2?;*Cf*SA@1Dv%9{8tu|K)L_Tj@Gd#KEJ86PxGw9K@$3NcPy zxFqqzjWG=kqHod1g%_=+6Jyn`V;3~dc(&z7o6-v4aFZ$?B}(hh3iK$ z2mRrlm`t#H1X^s+eUc^|**dyy*p0A`)cI}5amQ%7Mt5t|aK5^G#c?I2m)2oFT_N## z$m3s%`$jay_^Mb&l%q0SM+`DuM(swg)%-WCLWDWhs^Gn@7?`}-iFF&bDX`f`(&A+Zn;5Q@kYT3VARRt6S4C%*aK1 z<D)D12vL@MA>Qy?xNy|JY=vMi zC~8L%A=$GqwAmu4#8+3Nz0y$_OA&nTc^}1_QA}L z27r$kZi1=8YefF2ynjfE1OGm%2PxpZSISLbz^$pS;3^zO65+BqDqYKg!z7OxuTbxZ zuc}PYzCHxW3IWAdR`sRrZ9E2xWuYHPVoBF}^$*er3E*qz-g2_(6}0ZF%|4OIyq%=o(_ko1AkH9Dqcg1(lh zgAzbB`k$GO?xCu3Nz6XLWUSmi{KVgq}rqKUqW+%~;Y?o|-TBCUO z-{@kXlH@I*A~`HsrxZ%H)(ZakTH+qFOLA3WC`p%SQ4hNSlJBG5P^tknP4Xu@p`FP{ zD~y*AVBMkrMtv|Rp_UzX=^O2~G=O+5HXIh|d3yIK6)JrQzj&xrQU&D)lFqAimPYN%*7E(F)<>sC&gx9lx|F z+tNee-rILJWBopFa(5tJM!hFSD&O4E2bKKH>xI`};x%Hfq-RvycAe58QKA(Geh9N9 zafAQKc7wZ_zQwf>!a=ws9ONkeki6EwVw)L$Fyyy3dbZ&%rD7;gu8l; zWXlL!!*d{ZtsY<~xr5vwe1+Nwon2KjTljBBTNRTO4(=;#hAhHGB}iTv~vstjWv?Vu3TYTmAS-C z-~#3T;l+U`N#vt9CGTr3BoD`)>W;GJMjuLsNAF8ONucgsNf}OA^_T=H@olyr>ltmw zUKm@av^+$Y+?LSBsAGY;_a*(3Qc1n!bJRzPs(l$w+x9(V`KiMFIDcVpB|L{P zOVa63G|G!p!Cq1DRlO|99yy!#iEQ=6h-ZT-$6L0N88h;{` zj()X3kJkvl=@%e zM(>y<WSe5;j3H;O7OV#^yZfJ$SnJ^13)5<`MDDqT&Jnv-+7IxmcAEvg($<^dg*M5y# zYA~xDferp`*36J$FztP#gSL6VkqR>2-Br~MSb1{Ej;LempF_rIw|ct4TsNFhXjOZo z5UlkiQJiMuVgWl9U~kzRA8YbU$KJBXZYGJS*XTu9Ul4E#vJk? z7||w&d>Cc{+Y!=C^4vN3#C;8E!(d`|Mh^K1v^CMMMuO7$nxIr^bf7u@{cfGK?TYUv zJYaZtqXY31Y>kz-t&)$a)tjDvx;v3JVRO+P+DqE*dv;!O$>X7LgiJWF1WqPN_g8GT zNl3K1WXGEDx1@~y>EujY+owBL6I3}t*4Pbe)71jzPo|M~!CrV>&!~0BgU2>*96R&L zMVGD79M9GeYFfMQ8YAllj0Ihyhh-7lbgL}KH)*e`&^Y`^KQY3}cvCjmrW=lbvi*2m z&_>#XEF78Kxq6dJ$=j=X9BZPD;wEPu-)7YfWL*>R&csKQF}8^uPgZq%Fmc@B&cxlQ zl_wu?pSJTfnr&3DWOd;6|z0736(T4$ND1MIPP_fc}%OWn3P&Q*Bms1NI+!G42L9 z~)q!7IpL$Dd8K3U^D_qTPV6<2>^Cc-=&q z)mn4~`IPa}#1g2BMXlDro}6Hy0Kr1ry8$tNHRE{uu*pCg|jn(yj4V5t!<>Vu{+d*RzH z^lALO%kVRl$*nT8YSoYxxF7Z(>^JBLHvrB+jOwy-OXWkbU-v9w1p_w` z;+WGA^HmvBR$e3hEY+~Al)@d;D{JBg2KwL;siD3M>8!b)r)+mm`I`^7$W41D%+>?L z@Urqw>6_ZsmTG_#AzG`OeW?gzoMwF` zAzmRYVuE3e;@>wja#TPx(uebrbBZJ+4bV6xa0CY8pg)SdPO*ipzIw*Y23~A2uAn!m z;_P9TV)4I_EAT&|yF62=X*uVib^w}HQ8#W{!Iq-iKnGNG43|9@y=$@_advVIT$}Pg z?7_<*?#+!JL{>xy#TcG}xRSIRTuH5z@Blbs^#+T{ldy4g0$Cw447fAc%MFQL52f^P z^Mx7E<0nJ&FWMf z6)8D4=RYQvwv|jsU(lvw@#cNIod_lR+x%A1{ord-kHox*9{((pCP&Om^GmpD(``N+ zelZ*Yqy8~HP1rZNQPF!cBZWQbIjKlngl|Rc2Mp-O3|Gp3`4h;DqU-brH;r$erEash zN%8bAFF_-%^I;`FC@}aeJY#Y&=B*YSp?0-a;by)%1%>;Lwng~0q$YEFhl7k&L7o4N*wsZi8WbodKfMT4^6Vcd2kcl0iIyty>b?r2VV^w&rg6O zkq{e+VnMmalwy{UXW<`>F+gNdn}QKOS;3%5$J~MmJjcQgHvNHLn~M9ifHG(P-ue1P z#e*+7-n8xDyie2=8E__4TYPES1;bC?f+yz<#CEWrNnc3+roNV%l+;QS;At-7=o+A* zhebL?JYBYsciEUeTPF~=fsNEAvt4F|?89ag z(7TTJ?AcI3qD4%Z>T!20ly;EykHFS=TeQAtD|~9|a&gV%$LKKL;HuM9EMS$&0_$&D z!IL8>QQLtZQ{&9>DJ86|bO%0hvYXtGd}o>(y+3aS;ju<3Lpr5~q2&ZnH78f32U!mX z8|kYzc$$AtEdsM?&Rm-PmJ$!T!c+ul2flCJ6qTCaP6hYs**Aly)%D6$u{dWd7-{Md z5CY7>W2N}~SmUjTy;1LQ4_J2)4=o-81lrdU!w3O@bEZ&kBK#&-<8Ji^tUW_rN&QsP zi(D5IA6-S!r1%$?-T>JP3QG`e)_(eO>F>~RPN{JsriXeb&l!oj&{9&IQeey}**C30 z^MNt6j1_&R(k2txR`7(9dvIfv73{(^23~w01V<@;P`?p)V>~9x_+?76Mcie^-OO94psk5`%S z^%j=1=W>&g`B4<7x6|~Z3$dd}dv_zwQtH-EpA|SIw<$lVg2MlijADM1E>0iHPeh!8 zeWENt+|w**UPCxl+zY~IqS4u0a3+>coNkMpncj%u3Zl`JA}8!t zxNgx|)UBwClkCb2+MC-@*e58cHzLa3hQ*!pe9VVMWA((^Y z2qQ;e=)kvg{XuMDj#d!~>f4XzA0zLa8Doy|5!2IbhRMgt|An;YxfR*# z_3gbDuK6^iDnn0=S_=?*&8D@$PavMYG4<|@ZokirY6X*ZrHC|nUj064Hg9TZovU)f zK~BbC#LNWE5xI$UJ^D1{<+mxPIg?PXX@$LrGp<9^BJ>t~Kd_zR4KcKgVNytsMWwwH zozFgu;7+ERg3|^wpUIw+ypk%2EB4Fuc%M$wZq6Ar8H_INMZb(urO1;B)3zutD;!HY z^&;9JuPG(cJ$K3r7S{7KV*{8IThqw{JQIwNsl@XH&pl3@0`v!9QNhuDX-4g=Ma+eg zIPlBVZ`K*t;+fOP4HgQP>2MFyxbdNkSz3Bh&8znx{1Ibnk+4&_6U9R_Y+^6E5-yr) zi9KJkh~RMIz(W-R&O)jK&Wa_Ca8vX{$l&cv3D=Bud+jICP4R1tRpdv4YnM61IIfvm z#lDAKL)F_$=;(iz$7aqcG#02*_S43VzsxXz zRQI3BM`a%F-bD}dF&1LVG}@T&fS*JCnR=k;VU{zg8o4x`KfSBIwInOlII@y*3APa& zPZ=_}hTmOgHrB1hw&oB@r;4Y}kxb3j0;?5#+z(7qs4ko`;90f_@~O()%)FVybYA4G zq!!p6&)^uUtlAZZSO*} z;4}q1eUr2Or_+E%ejpIJY7Vk2BO-#lb1I&)-TXP=&Q_BhK*tn89IlhkEyGi2IhN*VT!PHK!eIpbXqnIo|Q2%FGGSk2VqvTMF5c% zM2le!$d;mxBHp`in!TsxFM9x7kZI702fS)Oat=n{&o89ZFlEU5H!jC8v|^1{O#hz0 zg-9&LBHZ%hiUvdW!CiTS{=Z`jOP|p?rgzT%Bqh6Vp1mNmVYRbOke~3b$PLApWU~rw zCA(&6tR?n_*>2V}IHpzrnmS*YU0_x^{ttg*awV0SCz`rEvroM?Rt}H%;un44SpY?{ z4^dxYhNq>*kaI2^T~_Bdb#iX@4g{mu%0!eU0wM$TLYB*E_5Q$ydyAHn^q{ZroP4wL<= z(@%3Yt*CmV1&LOA8=AKgjnUu5p^xdl)~@@Mo%EF9v*PX45Tk0;z`m{fGxq4BA21r3 zqj2}xi0GEs9$Aabb5^Z2ftmSV+H9g#-Rv_NwdfQ_HDya)>{NW@@16gUM}w$?E3+Ac ziBpx~-ki6tpNx}Tt5~%Pt;L11H-(Cn-C*!6+pGf_I1A8AQxYGA!BnSj1KrFIMbQO= zroofysq9di#ljU#$mopMtZ?e^tSI&wpfGK4-LV8EGn;L5*o-(f8LrF#!j$68kmk4IxC%R(DxK=5AP3-|=KuGk2+LOw(3IWjhRV)AuCnQ?N+d0CBA zNc$T#Uqn#9%Korhm1$+ZBgq0mp{$4LM}m-Nq31qX{7McWd*uB`s=PPv1X-;2II>HQA^q;k#+%-3nY)#5ZcP#OR6%Tv@koqRA z?;t+qaI!0MW~Pgm$n=C(;8qp9gQDWL!UKzM%HGPh1D1vR6h@AoBduY*;aJb=c`lI1 z;36kMW|i_)_Ea{+YJh;>srh*NU=D5SFT7GgDl-JWlWqD&v?YZ!1xMt{T+w9EgRZrLV<2kFsl$13fnxRQejf~(SjQ3t|($%mHE-G8UC4q&$-VUlzKqiF`j}` z(xIf_Sf0W)pjH1}(tTqM&>Ma`!Xw&i>W=A2<_Pj)EEkbWt(!U}sGCwFN$U${2Ig{= z#^%N|6PV+(Klnk+)pM)-zrkl_?dAtYcRNQG>72Mx+bu0XTOg{iJ_Z&f3;c3p zuOmWAb>`xdN>IOW1cK7+$$5A9E{+R*-{g<{Tk~&QEEvJmnDi~^%labpE9OqlZl+H3 zbt;>9iTWDwS2_$+K&V`uN9?CH?TKvyNR0XXysY_ym#;D}%-S$68gi;$P`jiJsL6-v z^SeQ|(hvB{rl}^!;r#hWRDY#Cuwe2t3pQ$s)=FOs{(#2~`+}>l7Gve8%6Tkc2g8_U zLcIAqiAPGj%^uD>;muD z@@V>XvDoZ}Ld)d$QN>9tkT|!MjzrqPzLO734^JvkKg~^>iPgx+OPt!7&olL1j>J*+ zL*UOxlSxW6Hl`||JI#o8O}Mu8!?d#Phnb?}9+u&(gf>pQM~am-bK1bW^MlZJkW^3? z+YtLEMb9ZOa^qAb+{sxA#-{5X?D#i@tvVi3rfaxdkQ22qbi*vXcDONCl2sIgXk|vl>gm_Hdg&PoUOy=lF>wEcW`BAzFOvl9(aD^a7t?$dIlw)&KR`H5x64KHCHVupGI|Q;8}LJ34gQj|;oipfB-4chofZqbK`^;(zSRT0 zaQvg~0)F9sQv39*Tw!7E;W^U(OmC1Qm}lsZUBeZPVdgX9d=#^LE^L0wrv)0?T=V0h zHkH{fi>KZVyPu%njC>G38p&*`Ks_BP5Wg7F5xp8QfVLjs^{)nPD%PfqTogoz5}ZnS zY>`NuC)x=+TK2Rhb42Au_J}W-GvajBwV}PMzN28I^xKG@a?Kk$dt_~M@yG-;+h02J zW}$q9D{2>qFI11v(%-e4&3+z98u~i2LaZNg0UJjyiJM1k5}t@Z3|SC6Ms8%MIQEQG z?{(0BUs)F$Cykdrt?Ey`QJo+arnX~Cb7QI%$0P3@MsXA~3ZF=u7Rob?5xyucwLW$| zqGl#VTHzFz=i+8X3*ZPS7}VF;#2XR$?F$XDCz-dja?9>A8w)q>t{Zl3t(rpM$G<%X z1oyAVKu<54 z53!5v((5V4qsB^%pq_t5}m zm$n8wZ5a}6r??n(XYlJylf@9AA=VYCH75qA&EObmK~wP=WVv$R`orBJbic*qbo%Ic zuIA!;v>bJFR?Xb9OeyvqZWSB@{48n#NmbL&4lL%+u0!YpJIv0PI}pDy$+3yi$KAIB znNSbOAe4U!7)hkZzQAj4-6f=I_n=Fo9oAqE$81h=#6P-n7y&v@KQmdp-Pwb)iC1X9 z4R98*sqRU~MEe(C$Q#jPg*g>Z7FTXQU!={yTD)}W&Ej3o4f*ltg{b7k2#BtBFN0cq zuhf4wZBbEvML`qyqaz5unpAZ2<6O~*COCz&xJKTi+eiJr7?obf__=7u`MsF0ux|11VlVaO z;8Hi@cZ^vnI=Ih^29iG1#^C3JTDbEk@>fMQC(@o3^Z~WWG30b6PwM-a*$fE;c0Yk!i9l6%cW%3 z-pa5p|HY!47E9~O78a~4Lg=r6Bl2hR?n^oIbg#jFyj zwD4#>h&{MuQ}l+SjOnk6U+iS3-4rhT3>Ss&q=hcpF_KT(*A6FW-!4S?D;dGw02sxZ zd%IzFFe8|*t#Lsu@u=Myit&ZI*xJyq)cX;`2z$hHP&-99zrwU!oL^)VpP|r7=rR-p zG;PH~`I;htfqIG8id zAbb`pc|imB zxmuTEsx&??Nj9-?hgmg6(D}P1P1==0PuWBRfG}8=l&v;vmMhJJ-j5ebS<-XxVkt*D z_%Y6`TI#0$S(<^VlNtaG(&3{|h!^ullVu?{jicsmrcQE<=5D1FBrjY^F}-smA3Q}W zriV__IiNg#j;r=7d?n{F5N}~_w%wwoSUjIecA!7X&(N}h#ibl0|5Qtk+(CW@8koP% zGhwW9rp}d9nE5{_O8Ms$^Pv%vFRD9F52KJT#=Z`^3wHkq$Astt`3nDV zVU(&8>&ba!5AvXSH9Rqg8TxklUrj9#3#2Tk=WNLjUw(U&zWg8M!}2fbLQ2MRS$Wp- zspVVroaNd`I3j>Uq2#HZdRPj$C$%p(o9Q98Kntu5RUir%y#7XZo;xaa84mmopD0a? zT#kmxhnH)YJxfm9z|2}KTbM18{LQA8y(lxWwNcJd2JkEJF}Oa>Jg5yJTPQ?rBEKe; zmDhd6!Zhl1YAZ3Y+R#t&IlP6|oMzA?x^wv-LY#LP!CU4#>>0$?#(!OnTOfqs8S3#l zSMn>CrC49s&5I+m9?^T@-LXfPbn39*gK#01I^R`}1?FxCzAg(Yk>8~l)c4moy@JiP zZvYb*6?_)K1cGXQWn{hX(%b2S-ev^5wq%L>KU?n|)GWt5?T^^H58HFyTD7A zB27R<1?e_ANRuiE3W5|7P&%me-c<-i1r($yc0iHdLLiNH!+r1jyT9lA+&}Up+4=0u z&N;JZ&)JzX;{}g1yUP>m#4`BhyRmUS`#&JFhM|5d1S`xOqfoUB?Ct8%`%Mco&NU_Y z1H6WzueFORASdDRZR$h?;M=QKh6wZ2WMxWlb-I!Kp^(p7O3aKHb?e^t|V)%UapQ_f?qd#E` z>bf`2#cV?6fJ3f?uzPLeMNX_cPv!D`m!AWv&7s7sEpvdSj$>1HbrE6(7J~7!M)5W* z$G16<>YJ8-%`taN=B$q3-lMX6);1H1maJzO7JC45p_uYn79OIrTW z-wE53BrzYB%&`7-VZbV^zi0!7MqB`di>wd>{U^yE5jqU`U0u5OE-_zWcdx=79Le=& z%@%{M|A>$&w-yMRWQ{;0bSGXA29&SgH zgbdLwbhrDcM}>U$<2kYu!{w?=Du>X}a77X%R*lTilGOaf=p{0Qc(@K2tlse-(g_q*>Ibm{(}?1*I2Y4Q;uQp`#Ey31+6UaVQyxcp|du};X~X=6Ss{b{x< z2miSHfOMyrC|QOXU6sLr5$2c|T_K2dKven)5MAXKwE`Q^uZs{Sr`6@|o*|!DF(nt$ ztKJRtw?6yEW-5jlW!bsc@sag4X8_rgC0x|HhEH#i87{nuwo=F{VT5*_Q_BNDaIG8W6*<49g^mDTwKhfHTs7TLfIQ%Y z(`SL${3Yn-`eQOUqj1KbpnpBN8ScSs*7PBdpfN+++eL8O3Q4lW z?&5Bu%wa%p%dgco5+xut0)7_Kfg!G&x~waA#12VA*eKEz3FK{H0-&}6?LG_VhYrB+ zW1@G2eSWN-q?`bML0f=2cI7%%DJjwF6vo0&Y%UTjKTF`#ap#ymvX1TCK}T({Y;OS(WH`v5kSn{&t1$YQJ$bQrGd&-J0DNwXC&(~?V_zTbTA)UUxNL1p%^rIMAuy^0q9zD zrU+AH4Yu^}MtlUqL7Kg?8z&tx?T6l(8;5?rFNWJf-y+xHtOGR0U;p zZMsbmsHL0-H4qIcBBV=38sOYBDl&h-Ig}x$VqdvV@7{OCpm^5L3gTEDk=8FIk94EHI_R8i6uLKtjHW{8f`?Qm5d zpvW+3!FOA|PkI-J7eS&g!LE`R5F_9=iZ2W+;Me+sQUa@_T!p9%OEqCwPK4J$ha)(O z1PrvnQJC|9%J6LK7-XXj=Ocs3C4BuM4~nZEC0;KwYEm$CL%w6MDmsCv-at_GUfNQX zTU23}sg_SNQ76KC+7(&6igcD)gTB0)-maBc=(tZctb_W1f1ISwwg&R~!qg;TSYk8vOG`xsq#gna zKLyQ2a{Rp8-f~-b=la@C^EXOb6B2w%lJ3K$=2D!g#qFnwUhRLtW|Y&gGV1YFv5?FYJjZmAwZY+n6r{9|bF)y!j*9;|+G$IL09(}9D6ux5 zC}&uE)7oPz$EE6WC@2dI)$^(!hZfDCR)oswvd@CGhF5vfyP9mz3m^~!bK%DqAf)&CCu+u{g#Q) z_bXMXSG5K0HLa`AnY(fXv`=heX`z8$Cki1IL$_S;=7_EdRfq8f)f)C)j{){JKWvle zZO}-DmgV~qrUbn)$;ML+pzLNAr$rvnunod#*g z_A(qog0OecFsRgwS1T6G+s0OC01BFZOZyJ4rRjp}X&(r$iN6ZFRVsdZHK|g+H>?l| zP^>^M?FB&smPJ9)$07kp$YwN@NV|fnin%yCsKe1N3L1X3xHd}@m%5=qfNo%hr(Uvp`Q3m;8 za0rp5xzX2JCCp@ctg*I1kfk^|Jg&4R;6DdMiCjdOzs9J+OZ4_M+_(TROu}^OnC;*31^&m1~%C$u0MnqS*y+{ zSU<2ogl7w8!~5xPMcWFC(gc;2_R{KVsgmYDfp*FXNlR{zWv)GZMD>u<*-tG$o0_Few+x?O06U62L`H)xcP_PDGkXq| z6nn<(`dpOAR>83$NzrDCm6eznu>JFj3xB|8zyDLk9M!W!$I^JT*F^Pe}NSu-JqmK#s+ zq-aw!QTtDYz#Wa46}Ob_-33f2@S#;BGHG)In~A!FD%iW#k`8}%o)bB{t;6URMxQdZo}ybR#p?oKl`Mb;OTX9Z@D|+Zf80%H9seqtU@!98{J% z!L@<$M4fFJSko0QS9L2cJRIv@DDh?ZRT1VrW09B!>QFi8jTYPgXO{XS2!`fjXNy#-zag&(_n41U`^^sD8Zb*mMJEYZ@Nfb z-(y=Bq0wMEOvLJQKYlfaj~jltOngXH+57o0m@+A-)=uME0gQvDm*R=DjeiQgiaEER z?YOfTlA0EeFuE@V628S~>`?A}$ep2p6cctDEimJNUxj;XloO%W?$47aoMO zMRrB@`^nf`g5r+qzBWd7zmmQS(z}@O)M#flV~OTMbZpHfCN0|%cYG5F3467}`Ry_5 zdZ0j3CiJ;f6z0a}<+`NJ2h>F*e|`_wo4rreW=zF$V?I{El?H-bp)j%rP!lO%A+8j& zIvj$5;@^_GFNt`LaoZe}V#=4f;#~KFs~$o?I!5oJ!SuQGCx-LTl2zCXWroo9ydC&H zu5KqdoFIhkQ$D#>&>_GZRrs~I{EasAqTWn zrizFUz>dLQ7HWIV{^0Xy#@@z==D{}Rl=*?7xvgQZFY06sVbl_Ig>4?`MtQuSxrwS? zYu^qwiJ9P9fLXELY7X3cK#e1-U;-gh2eEbjY`vR{4CSc;?x`uAu+C`Bm9cv50+r=D z^y%g7;;NX|&B!g{^2~}=BxO0UjiZXudz{2Ujt9UP)|ipiou>li*nMwInVEol@QT08 z14w~sO+cvcdCT8C-7xU}kEp&^0A6rbD6zlFH(Fn{gYy9Svz8iFw^lG$!sys^Kwu8v z)ZVRb=y=Urf;I)8Kd>M!!Z}`E1lCgR-bWAlImw{IOaMpq*$*h~AAqXlzAM9Jh`3C!ugi{f-=vNME7J6Q3D< zEG!`0Y7RYUjefnnfV71f7F=jY!|I~^qBh~55ed%_&C)Xu+GRVx@3X~#5hlco`NG4T z2TtQHx5tS!l!cj%nZBV{Xw!qI=(rF5;51gsEsw;*{P;c8iA@3nZLAm z`E;*XeX=BspiERNP^TqRL+NoYWXb;3d@2&WK=!;gF9W4;g|N^g&{oLlWSQ#J%?F%2 z>+%q3L^&TrRS+bX$|BQuaEqK1?s)h!Yu(~u$uNFp+e znCUZ^^FZsN2Jl8J69M)yl`0|K-(?s0!sH3jE)bCHmzYiBDsW%kzfGjvMw?;|!yx45 z)^~@+B-c6t116uiwg$3JF};n#wkmG}4dl6lG7J=oS{*2qgA0Q`9OBW7bk8OPh~y%} zyXjGyccL=*qKO)8<>Gcgyg1IlAwRC|BuqO0>RLsu2lsHqL8J@q?^44q0;t$KJkYMH zN3TIdrDs+&=9gIXUZQlvfC7(3<2pyIB=cuU2fB|&CGU7%g9u711k?Hlo8f*4V zcaEexICTnqW4ib7#M;?>!Hu?Ej21Bj;2XiPkPsjDtvec+0*lNDw`Lm9=K-rdu_I2% z*q#M!fLMagp;c_$E0`1XKDw}%-tHYkVJO-O*hd}=-WDmE-QA(tA^+@_(csJG+%Fp* z9A%*v&{5Fp@FnDBso>S;hc%n2^i@E+M5mM@$=I?*3XDOK{g1A<#6x5di3cxy^MXz4 zPXOV|4P3XE31OpqrM!GcwGm9LTe}%Y_rukq=h~MJ5pR0jf=Lk_sbb%NV{$rEAi)q0!p5 z8nG@)kAWPZX6_fEhmU@7Uq7JlK0V4R7(bd_ojfvxR!%e!(ZJWEuA>4zeY0OjVvT|{ z1U(kVly;TdoOWi}l6J8e#%hi^TL+i6r}>e|O$;lnx9OuMEfk|hxk9TsbfcwjKHrR_ z%I?L{u!Yx8c+&zQzO=mCdVcQJfwZl?*KO`Ap|tP%;WSgJ+qB%>C|VKGixx*iM#s|v z<+~7dweyfqn-HLvrmypWwp<+8ZO$zQ`_V%ygYPVJuB z?ZxK4CHYjlpeYd2b9?6M%sN4EW?fpz_s^3KQ2Ojq90bNYRSSVwNacKUhw8=bBn>bp z>0b)Ij13Z4B1KYW76J9mC)E@V@{){9LKSL4Pmor~!X#1Bi``RnTaK$4TjIjYkOnAI z-14Mg?6)I!eBA$s79r{NdJAlmgK&j&Oz6*N26;m3LD}h*<&l88tj+GlzPJHNx^!qmwTeN z%&wQ8Ye?=P2kVk{SoBHj4wjNeq?^#EJvdvz1LQ3^kWg7YOBJhDLnP!A_bZm;*6n*? zBhcOR(q1ViF23jbHb3%~gpCm16>h{i3)kbYs;XvaacObs5gnH^dcET%2{HpALymR9 zvHbl=C^n#1ehWLKbOFaVh&a6zO$DFF@6-p}6|F(=ODDotV9|T&@a3vJ)*iw-@ zAGdGq|3MnQ;5=lexz+`CH$g#IkJ^9j<`Vob-@TWUEP?QsEdN=}de2mR1ou~7zVZt~ z-X&&*F|re2ZO|k;{8=K+eV^cs!B|D6ZZe?8UzEd2&rGu4{di3ED#4Z?(~0eT0mn1}F=ml6JHh|SvqQ8eD#>Z#=wqY{>R+D6 z-~SWMkn=k74%qcwNiDj^qk<}^wTDN@OZs-tm!jw%Q-ipkiv|fjs!ZM`Q9TBw z`K1b=2R$mK?09?Zdq#HrrJj*~Pr9Xc%bBm3`Y~Ik2zgibrv$22}B zp|?k${Y2L>x~U|c6~#ZfA9(cP==s;2+OPW>;P3lCz(4n^4!)pg_cIW`_bbKc_rJv~ z?o)RQHdR({9<*|8?Egj<_d^q7FB>QAo<_j`led@&Fsx< z(yH|*7%rfMWm6zZ;?)dVpyO{E-w(7jNC$MW3WsTFKo+K~pT!Uh@aSW%$wIFqGsDdR zNE9dvF0~8$3FV8#VUqHVVfVmQn1mS^%mN8l#$iq%EktXh`ST$FyvjbRJgi`E3v&Tw zA-c3BvQb@?DTAsxqQ*x}MEHLXz!1DCO;A+Ung;k7VZG5JV?|5aC_L;!K$@$o{_H(9 znvamJcYqvIlXj4uZLbnn!EGx={mc9Z(a__g3xwwy1GeAD^_U+~T?mU}3PuO^e3_%^ z>Ix~}HO91fan*Iz$Z|>Im}=9~+M3HSV7rb%)&TVRhb%ld>MZ71?@R=_V1}hr>UE@X zOITEd*;g2m5=*h=jws^V<6myt^;bAW8U~Gm-CkO?I;@A0rJo@lRLK-D z>}x?nAa7Vx>f^ztQ2e@I$~T5C#N92v=FC+r<_iXmSSRJyX6`0!A!KX|gh7`;!XP9l zzUoocVelOEyS^*8#WHbcXFqvg2{=C1n2!YAh;e9-+|rYo&A(WiP*uOL4Zy*p2mhB! zKz1B$bySir)a7n|17o4b+WC_s%&5C_a3?x{8E9}ze&G*r_HKBJgYMeW?iSA$4@|J- zU+&J5|5qlvfD_0Q61mmti;Fnc{a7PYs30qj9)p<$VCZvQzmzN(M9;WHKP3cmqprC1 z83Ma`YystMxTAm19ozZ6)6?v<)`d7&yWA$kswfo(Vt^|lsxZ-8I*`^)3OVm+wD1|? z``#+_*dEM^%UC`_@3r26jJKAP+WxnW&k%>fKMq`Dj_H?yo50S~sAZj+1ON$&Xp%3; zpzj(ZZdEl!Eqhf>lStlMOD}!>$j=aSQua|}&||Hg=~|<~SC*&g?;($QSkYG{6;UmO zoQ7}Th&!(iOrey@G+=@rt;`mq7m|lMwhCX+QsuEd`7IaKUBJ$=oFN8f?BUd-p!6QMoYzek!|I)`}!Stlvev}Dw1VU0hcuI}F3*9NzKlRtA~#gKA`ubl>s z5}{?l!dm{&N>;;$2-~Y2J;j9W<54HH45LHFCKYOcJ`P#5ePNh^eIkfqJK1K#m+AR; zH=lP6SscKZuYuM{$NkyK)_F2hFdKYOw>I=xOt$4WS>nU7eSecI@om1B8hjP}=h8N; zYguDms!L_-GJ&!A6T>0Nt>gasjUy(wSm#mO95RxNfDA3T-TdcpkSGjpE1rjYz#3a+ zqL1f0qf$jhPrkxd-ST6hWoE&VO$?F5zL&pDP7)6x=RsBCdUdIr^X#DoSHZqJZ~?yU zs*^4#>NJIfMkL?g1#w~ly(g{Hf=zh!?~pF z_U2&|e4;`&sGcOjPVwn}D2`wUmL|N-zX=@A=wCF&i>uMF2UQ!*e{E3ro@UeY+a+IJ z9%qKE4Qbu0{*+Bmt=2VU#ty{TV!jm9EvEPaVr{5nxU>8`(HEwB=)Z5=`gj4psZ`9` zv_rfJi4i-5xZkcP5SA~t>+NS1{M(l%qwYnX`<+?2qqX%vSM1wwZKcti>Gjbvr3c7o zFlhwNe7}lkWtq|=#GXSiH`@b6azfBeg8VZ*W=W|xyM_l_hcRFoP`GFU<_snXBtWlo z>w-GX@-xi*Xc2jQoB+K=RRhw%XInQPe4_Eiyjl%!Of@1jPSGWJGDIKlQRkxx(8?-T zR*8-?FI`Y5Lh9~sWL`=SJp$a7wY1EK;J(LzI;M9M7(+Iw9*wUl9!0rGm323wfn||Q z^Fj808m$&Gp$}dil%hvw(&cr-&;YiMb2W_Gn9mF7$qe}x1UIL90Brzz^5{dRbZvd~ zAw50{f>>3gMU{P19o4C&G+?M=UegQ>olWTLSb1dYW8>{<5C{1yIoT>uaPrat^7F-)` z_`R}HbRKA-EPWh+Dct_|S-0JCjniymh_E0$xG{y`N%!t%gB#ZT?J%s_IuzeGfMr6D zpC};M`t16jlgLp)7~sWJU7L&SJOzItIMeQ%OK6!>Jqp-@0mSB(Ijbp!mgj_x75Nv5 zkv6CR$Z;R_aOvG1PU+h8DDq(|Ek5Q}Q&sYZztR!J-{=bQ;q`=Mt$m65_wE^F^(cBQ zCi&^gSM-O z#i0+RUwsFGkNIKcfeCleSqz5UiXO>#8uXw*GPk@-Y}lkc(^Ga_VOqgO``(mCjC?xz(BCXi)PnTC z1zbAi!Q1UU{9(@gR0$ti$s@M_a&j>&Ol;-F}HT>`EetArrrTI1KhL? zIxr#ljEQ$cYvP4lc1WuX&#D6}9sp?0xJOS*zih?f3TU#m5x^ zOG5WN?hW#%i%7NJi%YefU%WnZH#^6{@|Z$O8XP+cU%#nt1cq-J>%Q(L+)Vdg?0MM2XWFPe$5>I&pq=OkQ|%cB_*! z?(p**XZ`17T~W4vdoX>|*<5ggeSRh;k^8=$$zzn@EGNv1lFjcR@OjWsU;U(vT!ncDc`*a$oPJwq?!ig$&Nqk3?L4p;8} zeao{tda0!*M1?T+8aH-Xq~7P1!im?y+6&F>uVhUa_;2Mpyc=R&f2C%@EvaCXWNoMS zhU1*K$Fgm6(Q4(mS?)p~>UzmDU96H*&MT5SrsP6`j!}hOm&a5GcgFLR;5X&!F!1BO z$k%#Ue!m^jYIDMEtEIJRoyz6Sh?@7-Am%qG4TY@#k>h*{FOF4aY;?I~)LtP;G z{sq6~kyikPL8y=$*Wm|QCa-Ij+`40MoxH(+PTv>W&Cin5PK~qj#KkvM=r*?ktYLj3 zf`-oN=mBvqzlX>5l(M7$D94 zc~7y=X(pj;toR4ACdbEO&~}h%to$7jEj@%@`Z(ha!z9hYIR!3}!TZ9>MXa<&f0Wk9 zzPQwVm@HmKoedKVIvZiZ${DZDey=7?&{eRo#OvK)=Rs#A5p z<>CqR2x?YnU0oP17qY9b_~zzGh5KFr_Y5G634A*guhU%ZxdABPHA&fm#=zH5T*f$9A#O_6#W!lzol`7$YJqRRY7jGEsm6Z5#8-VX`uKNqnRs&drN z*W7!g;Nm#s?z#`o@b3J?_8Ta-4Ab`-1#w^~rhv%uuwS#}_wbX(LT_*V@Cmx9K4~qa z+FWS?HDKqd?J`0A*3PayG{-G=Rl48IwcpK8kYg+gWzN-K(?u_EsNyr2oWjrbvQ$rN zShsui8hy5IQDJy=(!NW7ShmS}c8=X}-o!Kzv)H#=B4>)ZWwRn;>)K64gMXq}1o4c{dPd*XldXgr#F0BLMD zlp9vaDp`cxa1~9jX_sQZd{QbnmeC>hPECf0=!4Vlp#h4}cmaH@h=9bM>iO9w-emhY z5feO{uYY{Y?CnRoLB)8Wy`eU=KnaM@zu2L(1ps;?)e4h4EQe!x%3xHfjdM~0@zv5v z&1(H0&SdH71mUwnEyaKy2|IhI>RUz>Wl|P_ilHcS%#}1XFaFMo&6465x7mk!nR6bI zCQS4;LFW^en+x^bAwoc5eBsN zuxEeK>WKFJ=#cWU=a9g9=P>J;G-xbF$sekM;{kt(c><0_bi^KsK~=|MU?$KEA*h0G ztmj;ek_%J~9lJLjCrF9Iao-VEzax6#j#$_o@!UI-9e1P#W09Viw{u#n1M-*u0;t(L zr4xXKyd-W5VgpjO+=BE&5?n*#nZ*C$8HXylBruA@OwPg1xx~v}!=kKJuV$&9A@Y8l zRQ3C#9H69jt4yUgL#;ZS|4E={ysbJ1muqrA{Jt<(s=ISn-{q`7!r4I@hUprWy&8pO z1`zguaww-8ST@l0Y8^2`s4*gtaMOIp`sL*5tL$0=&y3^VswKSD8ii_4{K1@uUGjfs ze}{pdm_TPuS?7U+j{GAXB|A+@nf+;HU9!9Gp8Ks{L;r>gx;25ijoB7ILjz(RL+R~q%xX7QA>A}&~a#S=u>*q5ErPD zE)=vFv(OPYg-BidlD0uf+v0w>t^ROl2_sJd6t9^xHESuyL6lwj&&|5axxj8K7?dtS zez|j%i77b~xLHe10q2ZT0*svJL?VP88waGKG3IKMe5NWU?As8sKALe3eP1|A*c$e} zOeI7J)@^Imh2>VVRr2kHy9vQ#Aq)~YDHBj&&>OH+ZZs7E zY0nY??A&7;le6dKVdr&oxB~?I?&pZ<2YFGkkM#USxicU2m*k$gLr+C0 zw)Kd6B{9plG>a_Et845h5(_Jd_wq8tX%qCrW*t2J;Yqz%rDoi`5Qg{|M1JfiAjHKK zcq#r-U|6hsV+VJ#C?*RFSj$5PM04`u*{rri9x526i|Bi3NXy}KQmz74(-`|%U=|8_ z9Au>t3;jfeB#SWQiJ|o|=JxZF`KFdlLfT3bPa|zk%PE@=%;$D3rm#}=d>iz!w!#}< zL(&}q^W`XyUG7{S?34SRre%e8F2FXm2)vRa<*HIpiZ-4LvD5Wy8M+_raQRtfpi1cz z{gM-SWOo1koCqqQ-k1&vC;}8Ar2zuZGfMU!i3s(T;$}-_70w!s-5t&jbkIZJ?<>9G z4p6u?t!)9>*brQ-nyfDLk`n*Bxco(7hVXf@^k*EIcMDG^3pHMc?&h(r&1ay)%iJ*- zu1t#~b(l;#r@TD0iz`IPP22goBzK$4ISkp0x)vUvfuh|n%6d@%t0y$4u6@r{fe55jzLWDGw z-0S|CmzO=M5Fo^mPBimFa9q@7vywNyhQ~QOD%DiUsGTXdHZju7mevCsN&ivw-!{^B zck&z4XYinBU{+_72-gqig55NmLN?%e;r7AxB2c$M#ahjiPT^DB(Cc)!EPL0lYAlx_j{xq{EjaN$QqJ`F{|Q0T>=W^P63X&lrW zb!*nNY$;w-IlUZ{@!a$!kBWb*DjKRV8=P|ysxAaM@Pbv?0B+n+Rb29EG*kry^?b?m zbiA$oor;?{R7JNvln*-F0gW`z7s$x`^3_rVmng5xX)*nrV~M_jw;hL*Jb0Tq8;<)k z6u98{D0liq9~LO!w9AD-S|h4EtD3G1a0zccw5(K-Ymle8!>#nyRB@0B0yLd87-DP~d~>BkY(zSY>L%48goS z2TFgn+Ol{3Tx?d6lLVKN6vNH*=ATF?H&$o81tRVb*&96F^!~JnKSVkmP{cz{6+`>` zfXhLEUThSIA5cw)NEL&$N$ytS9-YP>&#}s2u_W#`56=oqs}MJ*I9FFY&#Qyo<0YOK zzk6Qs;&FWopuKv}dGcQU?0UoNlDC+lFZ^nS;#J@D2TYk9ZnKb4lZVD>hw_IFxbVMq(|YT1`R#75GCB8Q&Wp@f@sMex zPTm}#XC0OwRFIj;b0C$Dbb%?`2xx_+E5t(2WPsjkuvvm2`spxD7cS&j%v&KqdEC-E z7BIroM-SM8A2I1Qy#A|?0@(ol^da1sA5lcw+7##$228hrvp8w7*uiS8AxCQggV-Ut zu|cNzg2?=w*(}(ns{qc0ztT0#^5k&e*&)wFE!-^7z3=zO1iH2jdBDVHDi7;@@X$^^ zo^fB;!DR%!0LY||+*KPkD)zL_8_}Ww`2F@owUI^TVcFPngRw_eJlTUeHwz*!z@)`DbgeDHEOBfV`Ok@R{lUmBfc}gz;etq z{R1ys?JY|@{W8Wa&=a38LqGEJ*@GY&_z26v2*f_%U=37gjUY;e$|@Pp`(R z72cWXvt?bK(tM4#-KsV5=`z6&Uv?j35K}8hWY^YAo=C;#y%%~+81o8%==wwa$0ND| zc-ok5RR@Hd`U8Z&38x67RX!u_4nrE+*E?tv&eoDt=_AcCzC#C)c{LmA~kxMXjCnUzf`!X_2#b>9c#x(>X83 zBO?b1K3!V6vuA!oHGcwPBW{ zn7`=DNayVdeBVp1|GO+7uoRcD%!8%;>O zFHI8D7YgwFKfmOZOs+)XW7DFPox?O!XA+$O6#o=Q>V%LFr)^YtdoYiB>ZH(Eji$_O z>fur+y>v@Kr`4EWNbjH|^811Ll<`50vd!nfn!oLKql$y^(?cprrxxVU^X(sgEiywK z8gh{^RfFQMrns*&H-M(b#Y&4I%H>JXjX#yL6Wrj%aOz(x^nw=ttqyK7+X-mDYHo-g z=kI-f_t)$s3#7_*pmhiv#spPRol*U!r9@$;B|6*yR_g-xxkELRK%;aEuNoaw&^@!Gqa*GfY?7@ z4&I)|$}M}zT}#RVjMK_TSiXGv00}9{WRxRFTkQVSin`uNuyqEsnAa??e^qtS{8ewbyJc5P;t!PFZpdDi+^X`;WgddO24cZ_7OU)GxhUOc*S*u z@C!n8-dtxV8a90Y!MqSMKAQ)H_2iSYeI_l_d1}?R&W)|N9Mo3&jjT4YO$^l3Vt{D51-f+y{F{NRr%wJ(W=_Q+MiSug}zZ(DJ=X=ACV?^1-KdDEtGx+NJq!ml{cy`xvgsQ~alC@`hB<*Sf81Kz`z(a$n{! zLfQ4HXWZw;X_ErB*h}i^c3M*8tFxo`ZHHp@{r2)+Pq=fTXJ=n(eXpS{DgEjl6?Z(g#d9i)1s{j*e&Tp|7@XyCZj8`5@ zMck=gaHi(!)vzTeH)zO7*^)1Mey7yB0f#F$A zg|Pfu#1iO+*9gakxbj}Q=!4ZFSwmD@95O7)-1`SsBT9_ z?^D%2#T?2Xk|w!%E;V1rSb*78q~$+F#Lf+?X@K+edh-%@bPFTdg4nDPiY+0fqwFB8 zf8?1_?qSgH9mwT5c9$5{F(iko;=8@sr@qbC8S52Wp4uV4g+MXsh?RjpnU@K|NQpeT zvb&Y&L22bbc(t=neg=iC$gAM{7i@=|pQ=2K!l%ub^aVk5GVu^|$ieUvi#SKGP(c@5 zLu>WX)Tyym9!=I1U%9eF%M4Ao`K$ z9)kNTS@#G&$G!2UJK0pIB;*52RRyPJ;)Ot{?1JaAXl6WoP2YT(-8kUem+IetIV9ev z#&Y+$rQd3Ql+&ggivpbi24%Trd39s4c;*f}t26J{I?To~F7{sB5JONd$7z@6oaZ>3 zv67B0akr%qHCN9R64%&M^oSquY1|@Z>{m)Dx-+*t?a@6 zsW2fx|5PXckS$vQR>VEc>YWBl%2)B^?krBcWcP1P2y#6v$g6C2J9VC*O)Fh7QEIsp zsf+Q}y>R*NJVju{CaebcM?o|CRJ_vZrLqW7v`&CpABX`s5hwDn)aHJlZj-Q8EB}Fr zH;_J-p*mXdHACAlrBn^4`0Tf ztZ$;!xp0#o*00jBZ2>)ZQ4Swep{@e+XE6yiJR7x)z%q2(<{bVMn^$C*6>${bdlu);WwntBGWQx{ z7GU$R1|8gVd@_8)QCh{ot;yTGIQniS2S_$9wi7tLE{m`Oi;Y>n`lT4;Gb2)U?S&{u zK-V*7YtW*f@Q3%C9x{HhhD(mb$_uj3);$30`8qAT0v}=SvpZR5GapahM?@NE#$uz8 z&?V0^vwYPLRy|cJ5@A|1h+;_SnM=M8Pby#A@niyB`e@L-WX^d_L)Zd?XQE|FII>hd zlk0i>R~^e?>HG`S@OO^aVd7%C8h%;50Pc3;37detOX+BJ_KBQY+(25UL^9v4bT@m5O?#`(E9+Ot&r~n;FSUP?lqH4 zmlLqXYhk@6a&cKF({qO()Yw^X%7dj%>@@oC-T&pC`~AA!bJ(Tul6$7LXL;MVec_z| z`sq^6xF)ad-+xrZY3xv8?uByK)SE$^@9QT+MDv$C&K5MU8@`{s&vR~Zu_gp`JzZhI zn}pu`q@xuXwDwLKOpO!r*C&~Xzhpz1B;u@3xqe?CGIvO~Gl>&WAL;vPiuYO>ef~z( z>+|@XUmhY+!*JKx?7OboECXTpgbLhP_zN<#ZHs?fr3(}ov(mF0S;M_7OL68QLN4|h z;eA}ON&K@X6eW~IzOFXpJKdutzcQMkYti=|(($De~*Yv z4Z8JDo@o~f^-c2S|6*aQLQUya$>P}LE56-z2TGA|Ue6Su>Lupfz=-I+j0&i#Ea9zBWEP zfI*5#ziG}>U=|7ypAZe=WUO4|_OxcV1?RsG!}|X;BZhO=KK`oycmXu$dS>aLys*)q8$SmEKY2dIh5vzZ zCwaGe3ohN)~s)f32NSUC|4YsWpc^abo>teoJwuLK#S6(^5G81d0 zxO2|F_IZ5kg#IP%JfqojB_N4V_F|5X(o=wtxM=;~U5AVC{ySD8V2$WEWq%ZCeGZbz zY;P3rijo}N3@>z3P$r9xLSuQ)4BIQhUBqQQ)4I|#{5jsnZby`S9cE^dT5UX$!-ZeY z%rw|mhby||S+ns*2~}kWe_*-thF$EjQ|`UbOg>8pF}T6cclyY4Os)^nP&?y_F6!bosq6_h~o>hN3KSB8aUfxeHLcXp}%ftGrnHDlySE* zLlLdmtY7^OC;ad-r?x5LU6{lf_CIW4`kup3>|IUc&#%Y0t{Fvgh&;)58DW9zWvH)i zYjTbB$#~1%{#5da&DY3D?)^0u-~bTy&EhsyxupJI; zPI^|pNl0(32Yn0o#Q7x@PyPDN*{uLc15;MvbfLKn>^-QPvV`Fs#S$jTr`(EHJow+Q zRod}gQhj0>XFklYx;6>HdOW_K%`TH-uQIiULy$^xaMc`&m0lxdam>PL<~S+Lgn7c7 z7+t7}J`s^r-Ut*|rWiSj&M`^9Dfq*!3wmkY+&JeMlF(Vok<7@_tPJRN4Z^5n|A-H- zK4=!`9q_$-&P}z#k_#hwzl7Nu0oyvO{eDKdBrfQ@py_>3Sqod1E_S7E9-~BF_<6CP z&kIzYHdQNb<2{e4?*u0<|RCP`=5*oeBV+n1^o+~iGQ+_NSkAQ5?iBD}2zYYY# z$x=5tXIRjM0-@xU`a4=T6OtU-JA0QQpec}qf(5qZCdsG`v39ClB*77l?xaAv2yNg1 z&k6Ersh7REH^WjNii+#xRLp{L^r~LBBq+~gHwAo5uXO?g%;S1pOOYgWo=UZWJLvdy zx4>C!zZ2hCW?|~)@-OA-%LI)P6t}dJHRRY)R)7{~j%p`=mm7LSBq(|HClxpWNse!B zULp`m?*{0tw4;I>M#Jn^_SzVdqNRcmqNM=Si+UO85adhLYk+;HVdE*);1o=PyKUH{ zJ2l5HFyM`<0+X{)J>TJ=TvFhlGlWu)oSCzC2jn=5Gz-1pr8K}&M;H$a+MxV{lMS7; z%PFaMC&dobra5Hoj*3rPd*mlM8F&Heg2D;I#w3it*}2uUz{fjevv1fK zS&n#b{N+SYVev5RhBn(-d_h2JbcmU6&#gqY8!?%HnJ^gNDs8&HEPy0b=X+aIX~9i7 z;KIqyP;i@K0XM*N8qymDE-l3q5`XD(UA9Y_JLo>=T&p7vPj!K#pTlIRGvg z6PemA2xbW-CLj|Ea1Vkx9h`9J%&|jconT?pP)rhLiiN{>XAZBI$JB=9Q?&FOlnhf! zVNe$>N8RjG8!59%DoHJzFqH=%-oipCB%*+535FFL3oOH&m`Tw9H7p6gRku*WZ}?*d zV$W+xY02uu!#7J3|D`^>hYhD6_8fp%4triDz+OhcDL!=vugSfwy7u1g8ZNxHd9TcI zIf4q<;#)G^W~VNjxEJmtb&0^YiWbAd7~&g2mY9x!xw}M55=ls1WtzDjFA9)w`ZF_N z0Ur~1PNn5Q$AQNcX%)PdO{Q)C>B3mR1)M>#IVwo8Ox+A>gC8?tUi_E<^48@%enV0o zG7rc1keb-+B!}z)c``^A3n4{9NrYe50XNgjGXku!Eh!;DE+LOia^~*X9S^=drt@{a ztt^w(Oz?t}#vl204rD$YEh54Q*bL#R5t!}9e5j=>AiBR6U9ry!} z3et8$78*^+m|yj#1x|uYgBwmZ!I>Gs2Dg*Rh5(tazcXZhj0rp4q+B}9WKB*?bt@-> z0D4>`ZdA0e6v4Cl?6PIHE|~!8wa9K0RJi39>k;CzM}=)}6O*}w=N|R2tW(Suo3g1ajM}ik9tXxZ|O#v zgf!cVt7k_b!Pe}e31D_MqJ+~H8v)BXG>alxNp^Fyq?op?5;V!w%8=_AnrnM#v&1_m z%#fR&udsz;z@kAK#v)TrAW<^a{_zfFy6}2#kR!I_#M2(zD_FkpTtMI8vJ zVd0E`nQn*XET0oZTRSs3qEFpr6T0*dfIMAx5gQ|;!@Rc&;DitXhiSCnX~#?eKCi7- z%p#2zi1f|ux>J%n2VAd-BjKv-Pf6FukQ&EC~UXoQ6Ix zk0Y@IB(6l8g#P&=2R*Nm8F)}-$W>;#rj9&i%lAkr`fEwpYzAEcg=pfGDg1MG$8>+0 zg>-lS@*U3&)V2gP*aa6z{mPl)2`w&_E7!x-w*1tkfopJnZBGshXd3``p2cl->-O#V zvN$dBc&_2erSHxOM&b%S}Gs*7m9p18Ecm)=jHFVZdjx!eNDOn}K5%VD+kw;6i zU)cI3wIVQnXBEW26=(H~&@#j0^{Pe=M(k5y$J-29G$tn}L8#GV7f}nTfT?h&*$lKD zHD8tkBm!^)PU@k0(qn+Fx_Fd2qX@`$vSq>Y^#}8HjDXmsbntov1vUQiE;-43at&=s z1o3EhqJTuWw-VPkHt3eUvH|222xSs&2bsiYjKKOcq^5lh5ZpP!RH^{^b&}cYPBa6y9w0Q#>ipEEJ^T*tAvVx zm749f>ozw8vkwKel#>5Jv9&N8^F^{YLAo6qxCB_^^dJf?#M2P$U}mu2i>rVNaJxL5 zE;IYEtM&*0(p{TZW+=#QJ%Dt0TOJrS>h_(#!(vnO(QQh zrK817F$BzRu}(uEG(Hn&Vi`B_ZUQj@Z*x+rgn2e;^*#+#AUu3+(Xm2Wi1i3P>Bh`f zGx1%#CBpi4b=aF527!wLTPF8jAQCb1c6W%dQ?Y^%r6Rf~&%04P8%T~pj>Fb$13Gg- zAtxjRNvYa?-I0-T%WjFFyY-D%DmsmnqTHT)Lmbo|^yb|H!9ad*iXAPdtp+m#`HFjs zS^PZ(8g%FOd48iN{Z(nhYlQ%Y=syFJWP9ues?heEC*vAVt+%PY;*2kk2@}|-H{95A ziHv|ZUgA2V%!zG&B=PSgdE2i`wY>H&cK@Tx?GdV)rL%USu+1GZt7fbIGXVD*GF4|+ zHuih6=Z0`Hd0S~qpapEP9>D&FfZ8tMgUOSJ z?@gZ7{05IJ0>>Ffiog2I1lZOKfX+ujjU!*!c0J*^L9=>@jODpJ(V!SRIV-P)3#=ow z0LehgS&u2N+Jt;`KP89FLvUYqifPTdLFM%wL$lG_-ZUW^zcDL*$#KxEa4PfMy5oAMhXvum3x^{Bp6{JC* zb0VO5-*?OYwC{VNH}vogzoWnsatSfApF1hLu=224z!rbDWS*LAP&PLzWjn8)#JrKK z?I@lwA9+QOI+XXNUS9CczOgqR$3C6BV1sgIUdo*uK|P3`#W-Leoyv|WwnSIk_?xNa0$ehc{->I#kti8kX-8a zpDMoE;Pqa!-Z!dQ7lHTd(CfC^KI(SVB?WB5;>FCc(`@44$)$Pe4&|e~lrQ$ajuecWW8csCNTW{ln(nfz_RZex*qiX0 z(0r<|-8V&_?0h}7OVdjB@l4_lckQHIZO0cQw@*=f_6FijeEd;o$!^!Xc3L0V7DOf8 z(|4!3uX%p!kfA+j=KftKAC?FepP39QfKNC6WiWdZYQum z-!eG@726WF$p-4IbR} zKrEP#&f4|dOZ1MiExf-h1qzuW{NwtWk@;sGhv)ViWhiIH&XPF8v_{FAYRrSTaB!L4 zGRZr@PXchYJJuAs#kQk%d5YR9goGNf9=>Wl|FdykIrG%za385bV5a5O7$78Wbly1e zI@oY|7=1!46ogQ(VYg>Ey~&@!OH6Ex>HO-%_H6?pize~ZZ3{X(7dFuf-HSL5XdDH= zxOI;Im>d<*W35rH@p|527M8t@^KZ@r+IzZrP3c~_gM)DIO(4x&M=kca-g|qOlqc2~ z-q8}`#TH}$Jcnc_6!_wLWyktl2#;WCgrjBd@U`!wr6{+G35 z${v31Q2>IDLQ5^aAw6$4*a&EPdFu(Ip4I8lOxOKFu0gIA{oVG%V1LaSV%FKZ6u z6dzF(3dR)(i*!XP7}gkDMwVuc$fW1tSXq}cqCBoZ5Lsmm%Il`tIBy;t3+KYwQmheD z5CuJYgVHXid3__&Q6{G9{TpVQy&<*T0Qg3(7PYY*xXgEl%k&>IfCE;+bp-BGi1xA- zfCS$GShpmAvf9qKzeohq2&%+@Y$pqdXOuW>8(RT}@o!vox*IWv0>VfwoYl4hk{=-6 zjd%MsWo=wL^8r#IG+>zLc||dv8+d{P3RjKQ0Ad#S1+>CdlqyIfRRKHJ1NMH$M#Mx= zDQYa*-PgeZGm@Dn;ld4Wvz`-P?ojL<#m~+D&#g+9(#Z6(6*V{kbSBs6L&gzPHU6A@u^_K> z(N%7|onTh%1OaeeRQSG4g%R7#_kKZzYz%I<_N{c4-%toX{BsF_Q8?ZGg%QC#c*Ur9 zL!hNV5jB8SoL(r?**i->dpEdQC&Zs*2-#tGgdm1M2&O1tUVM`XzA`BjYa<*`JXQ@> zv)>U?{|G#o)eH-k0WEmw06FMhkn@jV2o4db613EUSs%HVl1YAnp*VQ-Q31_Y<@ev2 zc-!FSX6_9WK*Nle&OC8*gPjKEd4M><2~c|IFSbOc8$FWwie#7d?gW;zLX+jYvQ! zs-Q(Li>{$qxB+X5xG^re6q=1$crHE-B!MTAaBvYKKo*cM5(pwd9?&oLRb@nkay1M` z983KdV?ad@WAySE2oQP^4rt}Q9hpHHpht)(w+tEA17wVb2MQoS=rKNHx%dPKjT{0Y z6-nWM4<5Orcbo=B0Ca{Ed=N$>Go?MO03n|cK{Lu?cS-c%tceDaAM;p8{EZ5~1Y1Ta1ZHVHvcabyod(%s2!3O$Aw1q##p4@>J@ON|iwLnkaE}K{fk8_sE+Ur4 zh;3*UabrXPJqC7|glUi(w1>_EPCx}f1#`v%sci^R!3+`LtZ5_)6n9ZK%1d=)k^%y> zE%@Tm5*f%#aTAb)H{Ol>;?zJlh>Ulm$#}G!jx=NiVnenqB4b3Lvgvch-SZ~U9d@zX zX_vG8Wr|wAcg>i3T$#|u@~Lx-OcH>!-A+qeJ8|SVZ5kljBDMW%5nFeMsbp=4NVzh% z0Z8K;aCRH%TCXlS9O}Viq)$*JKI+O`?<3WEgc#vXeUpH+ee> zkom(n}f9*bOD3qs~w>vXD0;dQ);mLF43^xhBaD^#6(fK0st-H z$&B$BtS(($Qxwd&0RA7?=p+?CF63PIvc*7Bjb}$dt_C7guk>5Z7!JW1kOvqrMgUeI z6wDX+g7u(N7FY_4905?)3L?v6P?@xlUt{fZQOp_ELr=g_oE*;zjpb)t6e{GlVHf0& zi=|vJuUsrMi&Gg?99_56{Ru4`ktm2JI0(Q;aS=CW z3m1i@ais_ZztbW~t{7GVWCtZjl@Z#c85I=0EQ93-VLkj#qE-3yP@Iisf%UL2dM<7O z?IJu%Y7)WOsI{bx&(&qpHf|<$Nlb^!S&8l!!!uw?hMW!V2ssrd+hW5-OvD$Ui3P_# zif7Nsz4!$1F0m!%_$Ul!o?|X4olpmx1lFc$2$aHt@TgT7em!Mouw9}i=0`*Tow13; z1auZ*MsKGCg{dz>XBg(?xV&+g3Bcz_KX!ir!nN@!2oXgkPT(2ffkh<<0Wfxq_=+rH z_~m35Bu>yxTo?|72KgyCCR!R~RzF~tgJTgl8yFs$L1z+U8Xhy|E!UM~m^-E=)?<2@ zM&|i&ViJYE6J3Ew=oKV^voQv|E@sx^QDlmkNG3)3TapAW6bPUz?pg_^$|Pov01#&A zummU;8wnkd1o%*HfIk?ppaHQQ+{k7|9eczs;{?QVrU8l>YvD&>gaJcpttcS`dQeoF zB8>;184|3MCT0@^707U}r47S!*bzL1U-?2cEW0I50x=L+XQQxST)stif!;wrww1n2 z_t%qb3dF^rvdm~tV3hS>Ej^br5J&VDF)z!6Vt_ATfscWuky)~k$>m>gBisx-gYM`b z4ko>06DByZ06>cdvtF7mNhS&an#cgg5Ic5g^)obN?3T5ZRkslC0Ho`FL^KviMc zgu3NGY>$9~8#Yy7neoAVAVSEOiiLPmU$U6~1i6W3X)U4&Faw`36emK8P;m$li4VZx zi=1y4$J}$zNP`!p5?hFys}C=+ zk)^3JQP>3(Bt=3<$|Ssk^?PX|FwB3&>DA;3bzOtgzZflbm_l>xTp+t?wuIB|*{WM>8&2~QD6 z`8IP7kFCg=6;ORDTFd;VsdSkvrwTAGIxotLu2LfyFz@E2@mZ}L&k6SOK$Q`L=1tU8 zqM12E!7yxqCIAPrpcXtQKPABNoIqzN)RVwIA`2^2V0D%R1YL_=Fl_Xkrpc+Ynh;p4 z)@Y?hh%T-R5HTPUGrMDAl4vS;9zqkPyroejfyoKrfM7GGjL8~|0Olvtk>lZ>5&>=U zO1+yL0sASN08`tL;^-V5;Du@ zVHHIN2PnHB+d*|+8MqZa$a7MgRfpASb!26(5^o_}TA)}bJ(YC=k#cz4(UL@H5o&}U zp-5;Fs)R0~OlTA8gg&9wB41c0Nripk<2MN!cYh%jI)zefk0MixQY=W_^@WKc z0>~I%F}A^D80JKVG2LcZ8Io1;VXLGW)_k1}duO73h8I(4=*f(R&kDF@8Y)#)%~g}t zWIn7RIq1B-hPk0^xT1Z1@X~E~8pMVv+H9x{!b@6Qa45?Shs9wOqB4;Xx?q3UdhCMD zp`iC1(uUF@o|ey5hu0zGr5)yH-C+au9kMdcgR4d!QV`}LB390%hlk91h~2q|($W+4 z!Ch?h;kRNRPF-10ei+#8hsj}p2p}$_lgof8hUGX0;unTDB!~;*CJBWiG3bbd2ufav zq9iwZ6H*_jJT6_tpODv8zslQ<eH2m*9!w4Z)RewP$x& z#AUQpXHY<(xTXz?_}OHmpA~ | + |-------------| + TYPE | | | | | | | | + |-------------| + DIMENSION/EQUIVALENCE | ------> | + |-------------| + NAME 2-3 | N | A | + |-------------| + NAME 4-5 | M | E | + |-------------| + NAME 6 | X | 0 | + --------------- + + + TYPE WORD FORMAT + + 0 1 2 3 4 5 6 7 8 9 10 11 + ---------------------------------------------------------------------- + | C | D | E | A | E | E || L | A | T || Y | P | E | + | O | I | X | S | Q | X || I | R | || | | | + | M | M | T | F | U | P || T | G | || | | | + | | | | | I | L || | | || | | | + | | | | | V | I || | | || | | | + | | | | | | C || | | || | | | + ---------------------------------------------------------------------- + + BIT + + 0 - Variable is in common. + 1 - Variable is dimensioned. + 2 - External symbol or subroutine/function name. + 3 - Symbol is the name of an arithmetic statement function. + 4 - Variable is an equivalence slave. + 5 - Variable is explicitly typed. + 6 - Entry is a literal. + 7 - Variable is a formal parameter. + + - 1 integer + | 2 real + | 3 complex + 8-11 < 4 double + Type | 5 logical + | 8 statement number + - 9 common section name + + 2. STATEMENT NUMBER - The first two words are the standard + pointer/type. The next three words are the statement number, + with leading zeros deleted, in stripped six-bit ASCII, filled + to the right with blanks. + + + + + + 1-3 + + + --------------- + POINTER | ------> | + |-------------| + TYPE | | | | | | | | + |-------------| + NUMBER 1-2 | N | U | + |-------------| + NUMBER 3-4 | M | B | + |-------------| + NUMBER 5 | R | | + --------------- + + 3. INTEGER OR REAL LITERALS - The first two words are the + pointer and type. The next three words are the value in + standard floating-point format (12-bit exponent, 24-bit + signed 2's complement mantissa). Since the type of the + literal must be preserved, there are two lists; hence use of + 1 and 1.0 in the same program will cause one entry in each of + the integer and real literal lists. + + --------------- + POINTER | ------> | + |-------------| + TYPE | | | | | | | | + |-------------| + EXPONENT | V | + |----A--------| + MANTISSA 0-11 | L | + |--------U----| + MANTISSA 12-23 | E | + --------------- + + 4. COMPLEX LITERALS - The first two words are standard. The + next three are the real part in standard floating-point + format. The next three are the imaginary part. + + --------------- + POINTER | ------> | + |-------------| + TYPE | | | | | | | | + |-------------| + REAL EXPONENT | R | + |----E--------| + REAL MANTISSA 0-11 | A | + |------L------| + REAL MANTISSA 12-23 | | + |-------------| + IMAGINARY EXPONENT | IM | + |----A--------| + IMAGINARY MANTISSA 0-11 | GIN | + |--------A----| + IMAGINARY MANTISSA 12-23 | RY | + --------------- + + + + 1-4 + + + + 5. DOUBLE PRECISION LITERALS - The first two words are standard. + The next six are the literal in FPP extended format (12-bit + exponent, 60-bit mantissa). + + --------------- + POINTER | ------> | + |-------------| + TYPE | | | | | | | | + |-------------| + EXPONENT | | + |-------------| + MANTISSA 0-11 | | + |-------------| + MANTISSA 12-23 | | + |-------------| + MANTISSA 24-35 | | + |-------------| + MANTISSA 36-47 | | + |-------------| + MANTISSA 48-59 | | + --------------- + + 6. HOLLERITH (quoted) LITERALS - The first two words are stan- + dard. The next N words are the characters of the literal in + stripped six-bit ASCII, ending in a zero character. + + --------------- + POINTER | ------> | + |-------------| + TYPE | | | | | | | | + |-------------| + CHARACTERS 1-2 | | + --------------- + etc. ............. + + + 7. DIMENSION INFORMATION BLOCK - If a variable is DIMENSIONed, + the third word of its symbol table entry will point to its + dimension information block (may be indirectly, see section + 8 below). The first word of this block is the number of + dimensions. The second word is the total size of the array + in elements; thus the size in PDP-8 words may be 3 or 6 times + this number. The third word contains the "magic number" + which is computed as follows: + + n-1 i + MN= - 1+ SUM of d(j) + i=1 j=1 + + where d(j) is the jth dimension and n is the number of + dimensions. + + + + + 1-5 + + + + For a 3-dimensional variable this number becomes: + + MN+ 1+d(1)+d(1)d(2) + + The magic number must be subtracted from any computed index, + since indexing starts at one and not zero. The fourth word + will (in PASS2) contain the displacement from #LIT of a + literal which will contain either the magic number in + un-normalized form (for dimensioned variables which are + subroutine arguments) or the address of the variable minus + the magic number (for local or COMMON dimensioned variables). + This literal is necessary for calling subroutines where a + subscripted variable is an argument. The next N words are + the dimensions of the variable. If the variable is a formal + parameter of the subroutine, it may have one or more dimen- + sions which are also formal parameters. In this case, the + magic number is zero, and the dimension(s) is a pointer to + the symbol table entry for the variable(s) used as a dimen- + sion. + + ---------- + NUMBER OF DIMENSIONS | # | + |--------| + TOTAL NUMBER OF ELEMENTS | SIZE | + |--------| + MAGIC NUMBER | MN | + |--------| + RESERVED | | + |--------| + DIMENSION 1 | D1 | + |--------| + DIMENSION 2 | D2 | + ---------- + ........ + ---------- + DIMENSION n | Dn | + ---------- + + 8. EQUIVALENCE INFORMATION BLOCK - If a variable is an + EQUIVALENCE slave variable, the third word of its symbol + table entry points to the equivalence information block. + The first word of this block points to the dimension infor- + mation (if any) of the variable. The second word points to + the symbol table entry of the EQUIVALENCE master variable. + The third word is the linearized subscript of the master + variable from the EQUIVALENCE statement. The fourth word is + the linearized subscript of the slave variable. + + + + + + + + + 1-6 + + + + --------------- + POINTER TO DIMENSIONS | ------> | + |-------------| + POINTER TO MASTER | ------> | + |-------------| + MASTER SUBSCRIPT | SSM | + |-------------| + SLAVE SUBSCRIPT | SSM | + --------------- + + 9. COMMON INFORMATION BLOCK - If a symbol is defined as the name + of a COMMON section, the third word of its symbol table entry + points to a list of common information blocks. The first + word of each such block points to the next block. The second + word is the number of entries in the list that follows. The + rest of the block is a set of pointers to the symbol table + entries of the variables in the COMMON section. + + --------------- + POINTER TO NEXT CIB | ------> | + |-------------| + NUMBER OF ENTRIES | # | + |-------------| + - | ------> | + | |-------------| + POINTER TO VARIABLES < | ------> | + IN THIS COMMON | |-------------| + - | ------> | + --------------- + + + + PASS1 OUTPUT + + The output of PASS1 is a stream of polish with many special operators. + Whenever an operand is to be output, the address of its symbol table + entry is used. The following is a list of the output codes (in their + mnemonic form, obtain numeric values from listing of PASS1) and the + operation they are conveying to PASS2: + + PUSH The next word in the output file is an operand + (symbol table pointer) to be put onto the stack. + + ADD Add the operands represented by the top two stack + entries (actually this causes PASS2 to generate + the RALF coding which will do the desired add). + + SUB Subtract top from next-to-top. + + MUL Multiply top two. + + DIV Divide top into next-to-top. + + EXP Raise next-to-top to power of top. + + 1-7 + + + + NOT Logical .NOT. of top of stack. + + NEG Negate top of stack. + + GE Compare top two for greater than or equal to, this + has TRUE value if the next-to-top is .GE. the top. + + GT Compare for greater than. + + LE Compare for less than or equal. + + LT Compare for less than. + + AND Logical AND of top two entries. + + OR Logical inclusive OR of top two. + + EQ Compare top two for equality. + + NE Compare top two for inequality. + + XOR Exclusive OR of top two. + + EQV EQUIVALENCE of top two. + + PAUSOP Use top of stack as PAUSE number. + + DPUSH The next two words are a symbol table pointer and + a displacement; put them onto the stack (used for + DATA statements). + + BINRD1 Take the top of stack as the unit number and com- + pile an unformatted READ-open. + + FMTRD1 The top two stack elements are the unit and + format, take them and compile a formatted READ- + open. + + RCLOSE Compile a READ-close. + + DARD1 Take the top two stack elements as a unit number + and a block number and compile a direct access + unformatted READ-open. + BINWR1 - + FMTWRI |> Same as for the corresponding READ case, except + WCLOSE | substitute the word "WRITE". + DAWR1 - + + DEFFIL Take the top four stack entries as the unit, + number of records, record size, and index + variable and compile a DEFINE FILE call. + + ASFDEF Set the PASS2 switch which says that the following + statement is an arithmetic statement function. + + 1-8 + + + + ARGSOP The next word is a count, call it n; take the + previous n stack entries as subscripts (or + arguments) and the N+1st entry from the top as + the array (or function) name; now compile this + as an array reference (or function/subroutine + call). + + EOLCOD The current statement is completed, reset stacks + and do other housekeeping. + + ERRCOD The following word contains an error code, write + it on the TTY together with the current line + number, and put the error code and line number + into the error list for possible PASS3. + + RETOPR Compile a subroutine RETURN. + + REWOPR Take the top of stack as a unit and compile a + rewind. + + STOROP Compile a store of the top of stack into the + next-to-top. + + ENDOPR Compile a RETURN if a function or subroutine or + a CALL EXIT if a main program. + + DEFLBL The following word is a symbol table pointer to + a statement number, compile this as the tag for + the current RALF line. + + DOFINI The following word is a symbol table pointer for + the DO-loop index, compile the corresponding + DO-ending code. + + ARTHIF The following one, two, or three words are symbol + table pointers to statement numbers for the less + than zero, zero, and greater than zero conditions + with the comparison to be made on the top of + stack. + + LIFBGN The top of stack is taken as a logical expression + PASS 2 should compile a jump-around-on-false; this + implies that some statement is to follow. + + DOBEGN The top two stack entries represent the final + value and increment of the DO-loop, process them + in hopes of finding a matching DOFINI. + + ENDFOP The top of stack is a unit, compile an END FILE. + + STOPOP Compile a CALL EXIT. + + + + + 1-9 + + + + ASNOPR The next word is the address of the symbol table + entry for a statement number; compile an ASSIGN + of this statement number to the variable + represented by the top of stack. + + BAKOPR Take the top of stack as the unit and compile + a BACKSPACE. + + FMTOPR The following word is a count N; the next N words + after that are the image of the FORMAT statement. + + GO2OPR The following word is the symbol table entry for + the statement number which is to be executed next. + + CGO2OP The following word is a count N; the next N words + are symbol table pointers for the statement + numbers of a computed GO TO list; use the value + represented by the top of stack to compile a + computed GO TO into this list. + + AGO2OP Compile an assigned GO TO with the top of stack. + + IOLMNT Take the top of stack as a list element for an + I/O statement and compile read or write; PASS2 + knows if it is a READ or WRITE by remembering + previous FMTRD1, FMTWR1, etc. + + DATELM The next word is a count N; the next N words are + a data element. + + DREPTC The next word is a repetition count for the set + of DATELMs up until the next ENDELM. + + ENDELM Signals the end of a data element group. + + PRGSTK Tells PASS2 to purge the top stack entry. + + DOSTOR Performs the same function as STOROP after + checking the top two stack elements for legal + DO-parameter type (integer or real). + + + + PASS 1 SUBROUTINES + + The following is a brief description of the function of each of the + major PASS1 subroutines: + + RDWR Compiles everything in a READ or WRITE statement + starting at the first left parenthesis. + + RESTCP Restore character pointer and count for the + statement buffer from the stack. + + + 1-10 + + + + OUTWRD Output a word (the AC on entering) to the PASS1 + output file. + + COMARP Test for comma or right parenthesis, skip one + instruction if a comma, two if a right + parenthesis, and none if neither. + + BACK1 Backup the statement buffer character pointer. + + GETSS Scans a variable reference, or subscripted + variable reference with numeric subscripts and + returns the linearized subscript. + + MUL12 Perform a 12-bit unsigned integer multiply. + + DOSTUF Handles compilation of DO-loop setup. + + TYPLST Process a type declaration, DIMENSION, or + COMMON statement; sets up type bits and/or + dimension information. + + LOOKUP Perform a symbol table search for variables and + Hollerith literals. + + LUKUP2 Perform a symbol table search for integer, real, + complex, and double precision literals or + statement numbers. + + EXPR Analyze and process an arithmetic expression. + + LETTER Get next character from the statement buffer and + skip if it is a letter, otherwise put the + character back and don't skip. + + CHECKC The first word after the JMS is the negative of + the ASCII character to test for; if this is the + next character, skip. + + GETCWB Get the next character from the statement buffer + preserving blanks. + + SAVECP Save the character pointer and count on the stack. + + GETC Get the next character ignoring blanks. + + ERMSG Output an error code to PASS1 output file. + + POP Pop the stack into the AC. + + PUSH Push the AC onto the stack. + + LEXPR Analyze and process an arithmetic expression, + legal to the left of the equal sign in an + assignment statement. + + 1-11 + + + GET2C Get the next two character into one word. + + STMNUM Scan off a statement number and do the symbol + table search. + + DIGIT Same as letter, except checks for a digit. + + NUMBER Scans off an integer, real, or double precision + literal. + + GETNAM Scan off a variable name. + + ICHAR Get the next character from the input file. + + + + PASS2 OPERATION + + The first part of PASS2 generates the storage for variables, + arguments, arrays, literals and temporaries by processing the symbol + table built by PASS1, which is kept in core. The next step is to + generate the code for subroutine entry and exit including argument + pickup and restore. After all such prolog code is generated, PASS2O + is loaded into core, overlaying most of the prolog-generating + functions. The main loop of the compiler is now entered. This + consists simply of reading a PASS1 output code from the intermediate + file and using this number as an index into a jump table. The + sections of code entered in this way then perform the correct + generation of RALF code. + + Example: + + The statement: A=B+C*D + would produce the following PASS1 output: + (assuming A,B,C,D are REAL) + + 1) PUSH + ->A (symbol table address of A) + + 2) PUSH + ->B + + 3) PUSH + ->C + + 4) PUSH + ->D + + 5) MUL + + 6) ADD + + 7) STOROP + + 8) EOLCOD + + 1-12 + + + + The corresponding operations performed by PASS2 are: + + 1) Make a 3-word entry on the stack corresponding to the + variable A consisting of a pointer to the symbol table + entry, a word containing the type, and one reserved word. + + 2) Repeat above for B. + + 3) Repeat above for C. + + 4) Repeat above for D. + + 5) The multiply operator is handled like any of the binary + operators by the subroutine CODE. This routine is called + with the address of the multiply skeleton table. The + top two stack entries are taken as the operands, with + their types used to index into the skeleton tables. + (See description of binary operator skeleton tables below.) + The correct skeleton for this combination is chosen based on + the where-abouts of each of the operands (AC or memory) + at the corresponding point in the code which is being + compiled. There are three possible cases: Memory,AC; + Memory,Memory; AC,Memory. In this example, both operands + are in memory so the code generated would be: + + FLDA C + + FMUL D + + The CODE subroutine then makes a new stack entry to replace + the entries for C and D. This entry has a 0 in place of + the symbol table pointer, signifying that the operand is in + the AC. Other special case operand codes are: + + 0 - AC ( Already mentioned) + + 1 - 51 Temporaries + + 52 - 60 Array reference, the subscript of which is in + an index register (1-7). + + 61 - A variable, the address of which is in base + location 0. + + 62 - A variable, the address of which is in base + location 3. + + 63-6777 - Symbol table entry (can be variable or + literal). + + 7000 - Special temporary + + + + + 1-13 + + + + 6) The add operator is handled in the same way as for multiply, + except that in this case the add skeleton table is used. + When the correct row is found, the memory,AC case is chosen + since the result of C*D is now in the AC. This skeleton + simply generates: + + FADD B + + The new top of stack entry is a 0, since the result is in + the AC. + + 7) The store operation works in a similar manner using a special + skeleton table to determine whether the value to be stored is + already in the AC and whether it must be converted from one + type to another. In this case, no conversion need be + performed and the code generated is: + + FSTA A + + 8) The end of statement has been reached and any necessary + bookkeeping is performed. + + + + PASS2 SYMBOL TABLE + + PASS2 modifies the symbol table entries corresponding to variables + by replacing the first word of the entry with the first character of + the name, this character being derived from the list in which the name + is located. + + + + PASS2 ERROR LIST + + PASS2 creates a list (in field 1) of error codes and line numbers + corresponding to the errors printed on the Teletype during PASS2. + This list works downward starting just below the skeleton table area, + working towards the symbol table area. PASS3 uses this list to + write out extended error messages on the listing. + + + + PASS2 SKELETON TABLES + + All binary operators have associated with them a skeleton table + having 24 entries arranged in 8 rows and 3 columns. The rows + correspond to the following eight possibilities: + + 1) Both operands integer or real. + 2) Both operands complex. + 3) Both operands double precision. + 4) First operand integer or real, second complex. + 5) First operand integer or real, second double precision. + + 1-14 + + + + 6) First operand complex, second integer or real. + 7) First operand double precision, second integer or real. + 8) Both operands logical. + + The columns correspond to the following three possibilities: + + 1) First operand in memory, second in AC. + 2) Both operands in memory. + 3) First operand in the AC, second in memory. + + Each entry of the skeleton tables is either zero (illegal operator- + type combination) or points to a code skeleton (minus one). Code + skeletons are composed of combinations of the following types of + elements: + + 1) OPCODES - If an element has a non-negative value, it is taken + as the address of a text string for the desired opcode. This + works since all such text strings are stored below location + 4000 (in field 0). In this case, the next word of the + skeleton is taken as a designator for the address field, the + possibilities are: + + a. A non-negative values means the address field is a + literal text string, with the value being the address of + the string. (Same restriction as for opcode text + strings.) + + b. A zero indicates that this instruction should have no + address field. + + c. A minus one indicates that the address field is the + operand defined by the three variables ARG1, TYPE1, and + BASE1. + + d. A minus two indicates that the address field is the + operand defined by the three variables ARG2, TYPE2, and + BASE2. + + 2) MODE CHANGE - An element value of minus one means generate a + STARTF if currently in extended mode. A value of minus two + means generate a STARTE if currently in single mode. + + 3) MACRO - Any other negative value is taken as the address + (minus 3) of a sub-skeleton. This sub-skeleton may contain + anything except another sub-skeleton reference. When the + end of the sub-skeleton is encountered, the main skeleton is + re-entered. + + 4) END-OF-SKELETON - A zero indicates the end of the skeleton. + + + + + + + 1-15 + + + + PASS2 SUBROUTINES + + The following is a list of the major PASS 2 subroutines together with + a brief functional description. + + ERMSG Output a 2-character error code together with the + line number on the Teletypes; also put the code and + line number into the error list for PASS3. + + UCODE Generate the code for unary operators, given the + skeleton table address. + + CODE Generate code for binary operators, given the + skeleton table address. + + INWORD Read a word from the PASS1 output file. + + FATAL Output a fatal error message and exit to OS/8. + + ONUMBER Output the AC as a 4-digit octal number. + + SAVEAC Generate an FSTA #TMP+XXXX if necessary. + + GENCOD Generate the code specified by the given code + skeleton. + + OPCOD Output a TAB followed by the specified opcode + field. + + OPCODE Same as OPCOD, except output a second TAB after + the opcode field. + + OADDR Generate the address field specified by the + argument. + + GENSTF Generate STARTF if in E mode. + + GENSTE Generate STARTE if in F mode. + + OSNUM Output a statement number preceded by a "#". + + CRLF Output a carriage return/line feed. + + OTAB Output a TAB. + + OUTSYM Output a text string. + + GARG Pop the top entry of the stack into ARG1, TYPE1, + and BASE1. + + GARGS Pop the top two stack entries into ARG1, TYPE1, + BASE1 and ARG2, TYPE2, BASE2. + + OUTNAM Output a variable name. + + 1-16 + + + + OLABEL Output a generated label. + + GETSS Find the address of the dimension information + block given the symbol table address. + + SKPIRL Skip if integer, real, or logical. + + GENCAL Generate the code for a subroutine call from + the information contained on the stack. + + MUL12 Do a 12-bit unsigned multiply. + + OINS Output a literal opcode and address field. + + OCHAR Output a character + + NUMBRO Output a 5-digit octal number. + + + + PASS3 OPERATION + + PASS3 first initializes the listing header line with the version + number, date, and page number. It then processes lines, much like + PASS1, handling continuations and comments and outputs their image + to the listing file together with the line number. A constant check + is made on the error message list for line numbers that correspond + to the current line number, When such a correspondence occurs, the + error code is used to find the associated detailed error message, + which is then printed out. + + + + + + + + + + + + + + + + + + + + + + + + + + 1-17 + + + + CHAPTER 2 + + THE RALF ASSEMBLER + + + RALF and FLAP are essentially the same program, with differences con- + trolled by the conditional assembly parameter RALF, which must be + nonzero to assemble RALF, or zero to assemble FLAP. The source may be + assembled by either PAL8 or FLAP; although FLAP flags one error (a US + on a FIELD statement), this may safely be ignored. The remainder of + this chapter applies to RALF only. The following definitions are pre- + requisite to discussion of the operation of this assembler. + + MODULE The relocatable binary output of an assembly. A module + is physically an OS/8 file or sub-file in a library, + and is made up of an external symbol dictionary and + related text. Logically, it consists of one or more + program sections and COMMON sections. + + LIBRARY An OS/8 file on a directory device containing a catalog + and one or more modules as sub-files. Used solely by + the loader, as a source of modules with which to + satisfy unresolved symbols in a program being loaded. + + CATALOG A list of entry points defined in modules contained in + a library, with an indication of the locations of the + modules which define them. + + EXTERNAL A list of the global symbols defined in and/or used by + SYMBOL a module. Usually called ESD table. + DICTIONARY + + TEXT That part of the assembler's binary output which + contains the binary data to be loaded into memory, + along with sufficient information for the loader to + associate the output with specific memory locations + through references to the ESD table. + + SECTION A unit of binary data output by the assembler as part + of a module to be loaded into a contiguous area of + memory. COMMON sections are a special case in that + they may be defined with the same name in each of many + modules. In this case, all the definitions are combined + to create a single section in memory whose size is that + of the largest COMMON section with the given name. + Program sections, the only other type of section, must + have unique names. Sections are listed in the ESD + table by name, type and size. + + ENTRY POINT An address within a section which is named and defined + to be global, so that it may be used for the resolution + of external references in other sections. Entry points + are listed in the ESD table by name, type and address + within the section in which they occur. + + 2-1 + + + + EXTERNAL A symbol which is specified at assembly time to be + SYMBOL defined in another module as an entry point. External + symbols are listed in the ESD table by name and type. + A complete program must include entry point names + equivalent to every external symbol defined in every + module in the program. There need not, however, be an + external symbol for every entry point, nor is there any + limit on the number of modules which may contain + external symbols referencing one entry point. From a + functional viewpoint, entry points correspond to tags + within a program and external symbols correspond to + references to those tags. Every section is considered + to have an entry point at location zero of the section. + The name of this entry point is the section name. + + When RALF is called from the monitor, execution begins at the tag + BEGIN. Unless entry is via CHAIN, the OS/8 command decoder is called + to obtain input and output file designations. If entry is by way of + CHAIN, it is assumed that the command decoder area has already been + set up by the caller. In either case, it is always assumed that the + USR is already in core. A check is made to determine that the first + output file is a directory device file and, if no first output file + was specified, the default file SYS:FORTRN.RL is set up. + + Default output file extensions are defined if none were specified to + the command decoder, using .RL for the first output file and .LS for + the second output file. The first output file is then opened, and the + handler for the first input file is FETCHed. If /L or /G was + specified, the loader is looked up on SYS so that chaining will be + possible. The symbol table, which is loaded above 12000 in order to + preserve the USR, is now moved down to 10000. Finally, the system + date word is converted to character form and stored in the title + buffer. This completes the initialization procedure, and control is + passed to NEWLIN to collect the first line in the buffer. + + At NEXTST, teats are made to determine whether the line just assembled + needs to be listed, and whether there are any remaining significant + characters in the line which have not been assembled. If a semicolon + terminated the statement, the character pointers are bumped to skip + over it, and control passes to ASMBL to process the next statement on + the line. If the assembler is currently in a REPEAT line and the + count is not exhausted, the current line is re-assembled. Otherwise, + a new line is obtained in the line buffer by collecting input + characters until a carriage return is found. If the line is longer + than 128 characters, all characters after the 128th are ignored and + the LT message is printed. The line length is calculated and saved. + + At ASMBL, ASMOF is tested to determine whether the assembly is + currently inside a conditional. If so, the line is scanned for angle + brackets but not assembled. If not, and the first character is not a + slash, leading blanks are thrown away and control passes to LUNAME. + If there is a name, it is collected. If it is followed by a comma, + the symbol is looked up in the user symbol table. If the symbol is + undefined, it is defined as a label. If it was already defined, the + + 2-2 + + + + current location counter is compared with it to check for a possible + MD error. Control then returns to ASMBL. + + If the symbol found by LUNAME was followed by an equal sign, it is + looked up and defined according to the expression to the right of the + equal sign. If it was followed by a space, either of the characters + ' or #, or the character % and then a space, it is looked up in the + op-code table. If it is found, control passes to the appropriate + op-code handler. Otherwise, control is dispatched to GETEXP which + restores the character pointers saved by LUNAME, processes the rest of + the line as a single-word expression, and returns to NEXTST for the + next statement. + + Expressions are processed on a strict left-to-right basis by the + routine EXPR. A symbol is looked up, and its value is stored in WORD1 + and WORD2. It is then combined with the accumulated expressions in + EXPVAL according to the operator in LASTOP. A new operator (if any) + is then located, and the loop begins again. When no operator is found + after some symbol, the expression is considered complete and control + returns to the calling routine. Undefined symbols appearing in an + expression cause output of a US message, and the value zero is used + in their place. COMMON and section names in the symbol table have + special values (namely their lengths), but they always refer to the + starting location of the sections they define, and their values are + taken to be zero of the section so named. If GETNAM is not able to + find a symbol in the expression, three possibilities are checked + before flagging the expression as invalid: + + 1. It may be a number, rather than a symbol. + + 2. It may be one of the characters period (representing the + current value of the location counter) or double quote + (representing the binary value of the next ASCII character). + + 3. The last operator may have been a plus sign in an indexed FPP + instruction. + + At the end of expression evaluation, the console keyboard flag is + checked to ensure that the user has not typed CTRL/C to stop the + assembly. + + There are six expression operator routines, one each for the + operations add, subtract, AND, OR, multiply and divide. Except for + add and subtract, these routines must operate on absolute addresses + because the loader does not have facilities for non-additive + resolution of address constants. + + The symbol table is the sole occupant of field 1, except for the OS/8 + field 1 resident. The symbol table is loaded at location 12000 to + prevent an unnecessary swap of the USR, but moved down, to start at + location 10000, during initialization. Subsequent calls to the USR do + require a swap. The symbol table is a set of linked lists, or, more + properly, two sets; one for user-defined symbols and one for op-codes + and pseudo-ops. Each set contains a list corresponding to every + + 2-3 + + + + letter of the alphabet, and each list consists of the symbols which + start with that same letter. Every time a symbol is encountered in + the source, the list corresponding to its first letter is searched + until a match is found, or until the end of the list or a symbol of + higher alphabetical order is found. In the latter cases, the new + symbol is inserted into the user symbol table by changing the list + pointers so that the new symbol appears in the list in correct + alphabetical order. The pre-defined symbol table is never changed, + because the user is not permitted to define op-codes or pseudo-ops. + + A RALF output file of relocatable binary data consists of two parts; + the ESD table and the text. The ESD table contains all information + required by LIBRA or the loader, and is generated between the first + and second passes of assembly. It serves as a partial symbol table + for the loader (the full symbol table is built up from the ESD tables + of all the modules in a program) and provides the name, attributes, + and value of every global symbol used by any module, as well as an ESD + code by which the symbol may be referred to within the text. Every + entry in the ESD table is six words long. The first three words are + the symbol itself, packed in stripped ASCII, with two characters per + word. The next word contains type information in the following + format: + + A VALUE OF INDICATES + + 0 Last entry in the ESD table. + + 1 The symbol is defined as external to this module. The + value of the symbol must be resolved by a symbol of the + same name appearing in the ESD table of another module. + The ESD code which follows the type code is the code by + which references to this symbol will be identified in the + text. + + 2 The symbol is defined as an entry point in this module. + It is therefore suitable for the resolution of external + references in other modules. The ESD code which follows + the type word identifies the program section in which + this entry point appears, and the value of the symbol is + relative to that section. + + 3 The symbol is defined as a COMMON section whose size is + at least as large as specified by the value of the + symbol. If several modules contain ESD entries referring + to COMMON sections with the same name, a single COMMON + block having the size of the largest symbol is allocated + for all of them. A name consisting of blanks is treated + in the same manner as any other name. + + 4 The symbol is defined as a section of location + independent (that is, fully word-relocatable) code of a + size equal to the value of the symbol. The ESD code for + this section allows text from the module to be included + in this section, and relocated with respect to it. + + 2-4 + + + + 5-17 Undefined + + The text portion of a relocatable binary file consists of the binary + data to be loaded into memory, along with information directing the + loader on how to modify that data to correct the addresses for program + relocation. The first word of text is a control word, which is made + up of a 4-bit type code and an 8-bit indicator. Following the control + word, and depending on the type code, are a number of data words to be + loaded as directed by the type code and the indicator. The control + word type codes are: + + CODE FUNCTION + + 0 End of text, if the indicator is zero, or no operation + otherwise. + + 1 Copy the number of words given by the indicator from text + directly into memory without modification. + + 2 Re-origin to the section identified by the indicator, + with a relative location defined by bits 9-23 of the + following doubleword. Thus, the next two words define a + new origin for the following text, in the program section + identified by the indicator. + + 3 Relocate the following doubleword bits 9-23 by the value + of the symbol whose ESD code is identified by the + indicator. The following doubleword is usually a two- + word FPP instruction, the low-order 15 bits of which are + to be relocated by the value of the symbol identified by + the indicator. + + + + WRITING PDP-8 CODE UNDER OS/8 FORTRAN IV + + + RALF contains the normal set of PDP-8 instructions (TAD, DCA, CDF, + KSF, etc.), however RALF does not allow literals, the PAGE pseudo-op, + or the use of I to specify indirect addressing. PDP-8 code generated + by RALF is not relocatable; therefore, operations such as the + following are illegal: + + EXTERN SWAP /Illegal + TAD (SWAP /Under + CDF SWAP /RALF + + The character % appended to the end of a memory reference instruction + indicates indirect addressing, and the character Z indicates a page 0 + reference: + + + + + + 2-5 + + + + CURRENT PAGE PAGE ZERO + DIRECT INDIRECT DIRECT INDIRECT + + TAD A TAD% A TADZ A TADZ% A + DCA B DCA% B DCAZ B DCAZ% B + + Spaces are not allowed between memory reference instructions and + either the Z or the % characters. The Z must precede the % when both + are used. I.e., do not write "DCA%Z". + + Three pseudo-ops have been added to RALF: SECT8, COMMZ, and FIELD1. + All three define sections of code and are handled in the same manner + as SECT; however, these new sections have special meaning for the + loader. The address pseudo-op (ADDR) which generates a two word re- + locatable 15 bit address (i.e., JA TAG without use of JA) might prove + useful in 8-mode routines. The following example demonstrates a way + in which an 8-mode routine in one RALF module calls an 8-mode routine + in another module: + + EXTERN SUB + . + . + RIF /Set DF to current + TAD ACDF /IF for return + DCA .+1 + 0 /CDF X + TAD KSUB /Make a CIF from + RTL CLL /Field bits + RAL + TAD ACIF + DCA .+1 + 0 /CIF to field + /Containing SUB + JMS% KSUB+1 + + KSUB, ADDR SUB /Psuedo-op to + /Generate 15 bit + /ADDR of subroutine + /SUB + ACDF, CDF + ACIF, CIF + + In general the address pseudo-op can be used to supply an 8-mode + section with an argument or pointer external to the section. + + FPP and 8-mode code may be intermixed in any RALF section. PDP-8 mode + routines must be called in FPP mode by either: + + TRAP3 SUB + + or TRAP4 SUB + + A TRAP3 SUB causes FRTS to generate a JMP SUB with interrupts on and + the FPP hardware (if any) halted. TRAP4 generates a JMS SUB under the + + 2-6 + + + + same conditions. The return from TRAP4 is: + + CDF CIF 0 + JMP% SUB + + The return from TRAP3 is: + + CDF CIF 0 + JMP% RETURN+1 + EXTERN #RETRN + RETURN, ADDR #RETRN + + + Communication between FPP and 8-mode routines is best done at the FPP + level because of greater flexibility in both addressing and relocation + in FPP mode. The following routine demonstrates how to pass an argu- + ment to, and retrieve an argument from, an 8-mode routine: + + EXTERN SUB + EXTERN SUBIN + EXTERN SUBOUT + . + . + . + FLDA X /Arg for SUB + FSTA SUBIN + TRAP4 SUB /Call SUB + FLDA SUBOUT /Get result + FSTA Y + + If the 8-mode routine SUB were in the same module as the FPP routine, + the externs would not be necessary. In practice it is common for FPP + and 8-mode routines that communicate with one another to be in the + same section. A number of techniques can be used to pass arguments. + For example, an FPP routine could move the index registers to an + 8-mode section and pass single precision arguments via ATX. + + Because 8-mode routines are commonly used in conjunction with FPP code + (generated by the compiler), the 8-mode programmer should be familiar + with OS/8 FORTRAN IV subroutine calling conventions. The general code + for a subroutine call is a JSR, followed by a JA around a list of + arguments, followed by a list of pointers to the arguments. The FPP + code for the statement: + + CALL SUB (X,Y,Z) + + would be + + EXTERN SUB + JSR SUB + JA BYARG + JA X + JA Y + JA Z + + 2-7 + + + + BYARG, . + . + . + . + + The general format of every subroutine obeys the following scheme: + + SECT SUB + JA #ST /Jump to start of + /Routine + TEXT +SUB+ /Needed for + /Trace back + RTN, SETX XSUB /Reset SUB's index + SETB BSUB /And base page + BSUB, FNOP /Start of base page + JA . + . + . + ORG BSUB+30 /Restart for SUB + FNOP:JA RTN + GOBAK, FNOP:JA . /Return to + /Calling program + + Location 00000 of the calling routine's base page points to the list + of arguments, if any, and may be used by the called subroutine + provided that it is not modified. Location 0003 of the calling + routine's base page is free for use by the called subroutine. + + Location 0030 of the calling routine's base page contains the address + where execution is to continue upon exit from the subroutine, so that + a subroutine should not return from a JSR call via location 0 of the + calling routine: + + CORRECT INCORRECT + + FLDA 30 FLDA 0 + JAC JAC + + The "non-standard" return allows the calling routine to reset its own + index registers and base page before continuing in-line execution. + General initialization code for a subroutine would be: + + SECT SUB + JA #ST + . + . + . + BASE 0 + + #ST, STARTD /So only 2 words + /Will be picked up + FLDA 30 /Get return JA + FSTA GOBAK /Save it + FLDA 0 /Get pointer to list + + 2-8 + + + + SETX XSUB /Set SUB's XR + SETB BSUB /Set SUB's Base + BASE BSUB + INDEX XSUB + FSTA BSUBX /Store pointer + /Somewhere on Base + . + . + . + STARTF /Set F mode before + JA GOBAK /Return + + The above code can be optimized for routines that do not require full + generality. The JA #ST around the base page code is a convenience + which may be omitted. The three words of text are necessary only for + error traceback and may also be omitted. If the subroutine is not + going to call any general subroutines, the SETX and SETB instructions + at location RTN and the JA RTN at location 0030 are not necessary. If + the subroutine does not require a base page, the SETB instruction is + not necessary in subroutine initialization; similar remarks apply to + index registers. If neither base page nor index registers are + modified by the subroutine, the return sequence: + + FLDA 0 + JAC + + is also legal. In a subroutine call, the JA around the list of argu- + ments is unnecessary when there are no arguments. A RALF listing of + a FORTRAN source will provide a good reference of general FPP coding + conventions. + + In order to generate good 8-mode code, one must be aware of the manner + in which the loader links and relocates RALF code. The loader handles + three 8-mode section types: COMMZ, FIELD1, and SECT8. All three + types of section are forced to begin and end on page boundaries and to + be a part of level MAIN; 8-mode sections never reside in overlays. + COMMZ and FIELD1 sections are forced to reside in field 1; SECT + sections may be in any field. The first COMMZ section encountered is + forced to begin at location 10000, thus enabling a page 0 in field 1. + COMMZ sections of the same name are handled like COMMON sections of + the same name (i.e., they are combined into one common section). This + feature allows 8-mode code in different modules to share page 0, pro- + vided that the modules do not destroy each other's page 0 allocations. + Suppose two modules were to share page 0, with the first using + location 0-17 and the second using locations 20-37: + + /Module A + COMMZ SHARE + P1, 1 + P2, 2 + KSUBA1, SUBA1 + KSUBA2, SUBA2 + . + . + + 2-9 + + + + . /Should not go over + LASTA, -1 /20 locations + + FIELD1 A + + TADZ P1 + JMSZ% KSUBA1 + . + . + . /Module B + COMMZ SHARE + ORG .+20 /ORG past module A's + /Page 0 + P3, 3 + P4, 4 + KSUBB, SUBB + . + . + . + LASTB -2 + FIELD1 B + TADZ P3 + . + . + . + + The two COMMZ sections will be put on top of one another, however, + because of the ORG .+20 in module B, they will effectively reside back + to back. When the image is loaded, the COMMZ sections will look as + follows: + + LOC CONTENTS + + 1 0000 1 + 0001 2 + 2 SUBA1 + 3 SUBA2 + . + . + . + 1 0017 -1 /LASTA + 1 0020 3 + 21 4 + 22 SUBB + . + . + . + 37 -2 /LASTB + + If module A is to reference module B's page 0, the procedure is: + + P3=20 + TADZ P3 + + + 2-10 + + + + Alternately, a duplicate of the source code for COMMZ SHARE may be + included in module B. Modules that are using the same COMMZ section + must be aware of how it is divided up. Although COMMZ SHARE takes only + 40 locations, the loader allocates a full 200 locations to it. All + 8-mode section core allocations are always rounded up so that they + terminate on a page boundary. If COMMZ sections of different names + exist, they are accepted by the loader and inserted into field 1, but + only one COMMZ is the real page 0. In general, it is unwise to have + more than 1 COMMZ section name. + + FIELD1 sections are identical to COMMZ sections in most respects. + Memory allocation for FIELD1 sections is assigned after COMMZ sections, + however, and FIELD1 sections are combined with FORTRAN COMMON sections + of the same name as well as other FIELD1 sections of the same name. + The first difference ensures that COMMZ will be allocated page 0 + storage even in the presence of FIELD1 sections. The second allows + PDP-8 code to be loaded into COMMON, making it possible to load + initialization code into data buffers. Two FIELD1 sections with the + same name may be combined in the same manner as two COMMZ, sections. + + The primary purpose of COMMZ is to provide a PDP-8 page 0; the primary + purpose of FIELD1 is to ensure that 8-mode code will be loaded into + field 1 and that generating CIF CDF instructions in-line is not neces- + sary. SECT8 sections may not be combined in the manner of a COMMON + and are not ensured of being placed into field 1. + + An 8-mode section does not have to be less than a page in length; + however, the programmer should be aware that a SECT8 section which + exceeds one page may be loaded across a field boundary and could + thereby produce disastrous results at execution time. For this + reason, it is generally unwise to cross pages in SECT8 code. This + situation will never occur on an 8K configuration. If the total + amount of COMMZ and FIELD1 code exceeds 4K, the loader generates an + OVER CORE message. The loader generates an MS error for any of the + following: + + 1. A COMMZ section name is identical to some entry point or some + non-COMMZ section name. + + 2. A FIELD1 section name is identical to some entry point or a + SECT, SECT8 or COMMZ section name. + + 3. A SECT8 section name is identical to an entry point or some + other section name. + + COMMZ sections, like FORTRAN COMMONS, are never entered in the library + catalog. + + For users who intend to write 8-mode code that will execute in + conjunction with certain 8-mode library routines, the layout of PDP-8 + FIELD1 #PAGE 0 is: + + + + + 2-11 + + + + LOCATION USE + + 0-1 Temps for any non-interrupt time routine. + 2-13 User locations. + 14-157 System locations. + 160-177 User locations. + + 1. Do not define any COMMZ sections other than the system COMMZ + which is #PAGE0. + + 2. If the system page 0 is desired, it will be pulled in from + the library if EXTERN #DISP appears in the code. + + 3. Do not use any part of page 0 reserved for the system. + + Special purpose PDP-8 mode subroutines may be written to perform idle + jobs (refreshing a scope, checking sense lines) or to handle specific + interrupts not serviced by FRTS. + + The run-time system enters idle loops while waiting for the FPP to + complete a task or for an I/O job to complete. It is possible to + effect a JMS to a user routine during the idle loop. + + RTS contains a set of instructions such as: + + #IDLE, JMP .+4 + 0 + CDF CIF + JMS I .-2 + + This sequence of instructions must be revised if an IDLE routine is to + be called. + + The location #IDLE must be changed to a SKP (7410). #IDLE+1 must be + set to the address of the routine to be called. #IDLE+2 must be set + to a CDF CIF to the field of the routine. This setup can be done in a + routine that is called at the beginning of MAIN. For example: + + CALL SETIDL + + where SETIDL is a routine such as: + + SECT8 SETIDL /Must be an 8-mode section + JA #RET + TEXT +SETIDL+ /Traceback information + SXR, SETX XR + SETB BP + BP, 0.0 + XR, 0.0 + . + . + . + + + + 2-12 + + + + ORG 10*3+BP + FNOP /For trace back + JA SXR + . + 0 + RET, JA . /Return address + . + . + . + #RET, STARTD /Set up + FLDA 10*3 /Return address + FSTA RET + SETB BP /Just for traceback + TRAP4 SET8 /Go to the 8 mode + /Routine set 8 + STARTF + JA RET /Return to main + SET8, 0 + TAD IDLAD /Field of idle + CLL RTL + RAL /Move to + /Bits 6-8 + TAD SCDF /CDF to #IDLE + DCA .+3 + TAD IDLAD+1 /Address of #IDLE + DCA IDPTR + 0 /CDF goes here + TAD S7410 /SKP + DCA% IDPTR /Store at #IDLE + TAD JOB+1 /Address of IDLE top routine + ISZ IDPTR + DCA IDPTR /Store a #IDLE+1 + TAD JOB /Field of routine + CLL RTL + RAL /Position + TAD SFIELD + ISZ IDPTR + DCA% IDPTR /Store at #IDLE+2 + CDF CIF /Set to field 0 + JMP% SET8 /Return to instruction + /Following "TRAP4 SET8" + EXTERN #IDLE + IDLAD, ADDR #IDLE /15 bit address of IDLE + JOB, ADDR DOIT /15 bit address of IDLE + /Routine "DOIT" + SCDF, 6201 /CDF + SFIEL, 6203 /CDF CIF + IDPTR, 0 + S7410, 7410 /Skip + + /The following routine performs the + /IDLE task + /Executed during IDLE loops + + + 2-13 + + + + DOIT, 0 + . + . + . /Perform task + . + CDF CIF 0 /Back to field 0 + JMP% DOIT /And back + + If the subroutine is checking for an illegal argument, an argument + error message with traceback can be included in the subroutine by + adding two lines somewhere on the base pages + + EXTERN #ARGER + EXAMER, TRAP4 #ARGER + + When the error is detected in the program, effect a jump to the TRAP4 + instruction. For example, + + FLDA% EXTMP1 + JEQ EXAMER /A value of 0 is illegal + or + + FLDA EXTMP1 + FNEG + FADD EXTMP2 + JLT EXAMER /The value in EXTMP1 must be + /greater than that in EXTMP2 + + Some points to note in the above example + + 1. Using a # as the first character in the name of the start of the + program assumes that the name is not called from the FORTRAN level. + This is because # is an illegal FORTRAN keyboard character. + + 2. If index registers 3-5 are not used by the subroutine, the space + from XR3 to the ORG statement can be used for temporary storage, + if needed. + + 3. The arguments passed from the FORTRAN level do not have to be + picked up all at once at the start of the calculation (3-word) + portion of the program. They can be picked up as required during + the program, can be saved in temporary space, or accessed + indirectly each time required, as best suits the subroutine. + + If a call to this routine such as Z=EXAMPL(A,B,C,D) were encountered + by the compiler, it would generate the following call to the routine: + + JSR EXAMPL /go to the routine + JA .+10 /jump around arguments + JA A /pointer to lst argument + JA B /pointer to 2nd argument + JA C /pointer to 3rd argument + JA D /pointer to 4th argument + + + 2-14 + + + + The AMOD routine is listed below to illustrate an application of the + formal calling sequence. It also includes an error condition check + and picks up two arguments. When called from FORTRAN, the code is + AMOD(X,Y). + + / + / + / + / A M O D + / - - - - + / + /SUBROUTINE AMOD(X,Y) + SECT AMOD /SECTION NAME(REAL NUMBERS) + ENTRY MOD /ENTRY POINT NAME(INTEGERS) + JA #AMOD /JUMP TO START OF ROUTINE + TEXT +AMOD + /FOR ERROR TRACE BACK + AMODXR, SETX XRAMOD /SET INDEX REGISTERS + SETB BPAMOD /ASSIGN BASE PAGE + BPAMOD, F 0.0 /BASE PAGE + XRAMOD, F 0.0 /INDEX REGS. + AMODX, F 0.0 /TEMP STORAGE + ORG 10*3+BPAMOD /RETURN SEQUENCE + FNOP + JA AMODXR + 0 + AMDRTN, JA . /EXIT + EXTERN #ARGER + AMODER, TRAP4 #ARGER /PRINT AN ERROR MESSAGE + FCLA /EXIT WITH FAC=0 + JA AMDRTN + BASE 0 /STAY ON CALLER'S BASE PG + /LONG ENOUGH TO GET RETURN ADDRESS + MOD, /START OF INTEGER ROUTINE SAME AS + #AMOD, STARTD /START OF REAL NUM. ROUTINE + FLDA 10*3 /GET RETURN JUMP + FSTA AMDRTN /SAVE IN THIS PROGRAM + FLDA 0 /GET POINTER TO PASSED ARG + SETX XRAMOD /ASSIGN MOD'S INDEX REGS + SETB BPAMOD /AND ITS BASE PAGE + BASE BPAMOD + LDX 1,1 + FSTA BPAMOD + FLDA% BPAMOD,1 /ADDR OF X + FSTA AMODX + FLDA% BPAMOD,1+ /ADDR OF Y + FSTA BPAMOD + STARTF + FLDA% BPAMOD /GET Y + JEQ AMODER /Y=0 IS ERROR + JGT .+3 + FNEG /ABS VALUE + FSTA BPAMOD + FLDA% AMODX /GET X + JGT .+5 + + 2-15 + + + + FNEG /ABS VALUE + LDX 0,1 /NOTE SIGN + FSTA AMODX /SAVE IN A TEMPORARY + FDIV BPAMOD /DIVIDE BY Y + JAL AMODER /TOO BIG. + ALN 0 /FIX IT UP NOW. + FNORM + FMUL BPAMOD /MULTIPLY IT. + FNEG /NEGATE IT. + FADD AMODX /AND ADD IN X. + JXN AM,1 /CHECK SIGN + FNEG + AM, JA AMDRTN /DONE + + RTS has its own interrupt skip chain in which all on-line device flags + are checked and serviced. This chain may be extended to handle + special interrupts. The external tag #INT marks the first of three + locations on RTS which have to be modified to effect a JMS to the + user's special interrupt handler. The three locations must be set up + in exactly the same manner as that used to set up #IDLE, #IDLE1, + #IDLE2 as described above. All the same conventions hold. Refer also + to the library subroutines ONQI and ONQB. + + Three pseudo-ops have been added to RALF to help the loader determine + core allocation. Each is a more definitive case of the SECT pseudo-op + and defines a chunk of code, thereby providing more control for the + user. They are: + + SECT8 - section starts at a page boundary + FIELD1 - section starts at a page boundary and is in field 1 + COMMZ - section starts at page 0 of field 1 + + If there is more than one SECT8 section in a module, those sections + are not necessarily loaded in contiguous core. The loader considers + core to be in two chunks - one block in field 0, and all of field 1 + and above. + + If there is more than one COMMZ pseudo-op in a module, they are + stacked one behind the other, but there is no way of specifying which + one starts at absolute location 0 of field 1. COMMZ sections are + allocated by the loader before FIELD1 sections. + + Modules can share a COMMZ section in the same way that FORTRAN COMMON + sections can be shared. FIELD1 sections can also be shared by using + the same FIELD1 section name in each module. + + The first occurrence of a section name defines that section. For + example, + + SECT8 PARTA + . + . + . + SECT8 PARTB + + 2-16 + + + + . + . + . + SECT8 PARTA + + The second mention of PARTA in the same module continues the source + where the first mention of PARTA ended at execution time. (There is + a location counter for each section.) + + To save core, a RALF FIELD1 section and FORTRAN COMMON section of the + same name are mapped on top of each other, being allocated the length + of the longer and the same absolute address by the loader. This + feature is useful for initialization (once-only) code, which can + later be overlayed by a data area. Thus, the occurrence of FIELD1 + AREA1 in the RALF module and COMMON AREA1 in the FORTRAN program + causes AREA1 to start the same location (in field 1) and have a length + of at least 200 locations (depending on the length of the RALF FIELD1 + section or of the COMMON section in the FORTRAN). + + If the subroutine is longer than one page and values are to be passed + across page boundaries, the address pseudo-op, ADDR, is required. + The format is: + + AVAR1, ADDR VAR1 + + This generates a two-word reference to the proper location on another + page, here VAR1. For example, to pass a value to VAR1, possible code + is: + + 00124 1244 TAD VAR2 /Value on this page + 00125 3757 DCA% AVAR1+1 /Pass through 12-bit + . /location + 00156 0000 AVAR1,ADDR VAR1 /Field and + 00157 0322 /location of VAR1 + + Any reference to an absolute address can be effected by the ADDR + pseudo-op. + + If it is doubtful that the effective address is in the current data + field, it is necessary to create a CDF instruction to the proper field. + In the above example, suitable code to add to specify the data field + is: + + TAD AVAR1 /Get field bits + RTL /Rotate to bits 6-8 + RAL + TAD (6201 /Add a CDF + DCA .+1 /Deposit in line + 0 /Execute CDFn + + If the subroutine includes an off-page reference to another RALF + module (e.g., in FORLIB), it can be addressed by using an EXTERN + with an ADDR pseudo-op. For example, in the display program, a ref- + erence to the non-interrupt task subroutine ONQB is coded as + + 2-17 + + + + EXTERN ONQB + ONQBX, ADDR ONQB + + and is called by + + JMS% ONQBX+1 + + The next instruction in the program is ADDR DISPLY so that DISPLY will + be added to the background list. Execution from ONQB returns after + the ADDR pseudo-op. + + It may be desirable to salvage the first (field) word allocated by + ADDR pseudo-ops. If the address requires only twelve bite for proper + execution, code such as + + TMP, TMP,ADDR X + ARG,ADDR X or ARG= .-1 + + permits TMP to be used for temporary storage because ARG+1 in the left + hand example or just ARG in the right hand example defines the 12-bit + address. + + RALF does not recognize LINC instruction or PDP-8 laboratory device + instructions. Such instructions can be included in the subroutine by + defining them by equate statements in the program. + + For example, adding the statements: + + PDP = 2 + LINC = 6141 + DIS = 140 + + takes care of all instructions for coding the PDP-12 display + subroutine. + + When writing a routine that is going to be longer than a page, it can + be useful to have a non-fixed origin in order not to waste core and to + facilitate modification of the code. A statement such as + + IFPOS .-SECNAM&177-K + + will start a new page only if the value [current location less section + name] is greater than some K (start of section has a relative value of + 0) where K<=177 and is the relative location on the current page + before which a new page should be started. The ORG statement includes + an AND mask of 7600 to preserve the current page. When added to 200 + for the next page and the section name, the new origin is set. + + When calculating directly in a module, the following rules apply to + relative and absolute values. + + + + + + 2-18 + + + + relative - relative = absolute + absolute + relative = relative + OR (!), AND (&) and ADD (+) of relative symbols + generate the RALF error message RE. + + When passing arguments (single precision) from FPP code to PDP code, + using the index registers is very efficient. For example, + + . + . + . + FLDA% ARG1 /Get argument in FPP mode + SETX MODE8 /Change index registers so XR0 is + /At MODE8 + ATX MODE8 /Save argument + . + . + . + TRAP4 SUB8 /Go to PDP-8 routine + . + . + . + SUB8, 0 /PDP-8 routine + . + . + . + TAD MODE8 /Get argument + . + . + . + MODE8, 0 /Index registers set here + . + . + . + + + + + + + + + + + + + + + + + + + + + + 2-19 + + + + CHAPTER 3 + + THE FORTRAN IV LOADER + + + The FORTRAN IV loader accepts a set of (up to 128) RALF modules as + input, and links the modules, along with any necessary library + components, to form a loader image file that may be read into memory + and executed by the run-time system. The main task accomplished by the + loader is program relocation, achieved by replacing the relative + starting address of every section with an absolute core address. + Absolute addresses are also assigned to all entry points, all + relocatable binary text, and the externs. + + The loader executes in three passes. Pass 0 begins by determining how + much memory is available on the running hardware configuration, and + then constructs tables from the OS/8 command decoder input for use by + pass 1 and pass 2. + + Pass 1 reads the relocatable binary input and creates the loader + symbol table. The length of each input module is computed and stored, + along with the relative values of entry points defined within the + input modules. When an undefined symbol is encountered, pass 1 + searches the catalog of the FORTRAN IV library specified to pass 0, + or FORLIB.RL if no other library was explicitly specified, and loads + the library routine corresponding to the undefined symbol. + + Pass 1 also allocates absolute core addresses to all modules and, + through them, to all symbols. Pass 1 execution concludes by computing + the lengths of all overlay levels defined for the current FORTRAN IV + job. Trap vectors are also set up at this time, and the tables + required for pass 2 loading are initialized. + + Pass 2 concludes loader execution by creating a loader image file from + the relocated binary input and symbol values processed by pass 1. + Pass 2 also produces the loader symbol map, if requested, and chains + to the run-time system if /G was specified. + + Pass 0 contains very few subroutines. The routine CORDSW checks for + the presence of /U, /C or /O option specifications, as supplied to the + command decoder, and processes these options if necessary. A routine + called UPDMOD is called when input to each overlay has been concluded, + to update the module counts in the module count table. + + CORMOV is a general core-moving subroutine, called by the instruction + sequence: + + JMS CORMOV + CDF FROMFIELD + FROMADDR - 1 + CDF TOFIELD + TOADDR - 1 + - COUNT + + + 3-1 + + + + LOADER PASS 0 (FILE COLLECTION) + ------------------------------ + 00000 | OS/8 Command Decoder | FIELD 0 + | | + | | + |----------------------------| + 02000 | Loader Pass 1 and | + | Pass 2 | + | | + |----------------------------| + 04600 | Core measuring routine | + | and scratch area to | + | save 00000-02000 | + | during CD calls | + |----------------------------| + 06600 | | + | Unused | + | | + |----------------------------| + 07600 | OS/8 Field 0 resident | + |----------------------------| + 10000 | OS/8 User Service Routine | FIELD 1 + | | + | | + |----------------------------| + 12000 | Symbol table, loader map | + | titles | + 12400 | | + |----------------------------| + 13200 | Pass 0 code | + |----------------------------| + 14000 | Pass 1 initialization | + | | + | | + |----------------------------| + 16000 | Module count and | + | module tables | + |----------------------------| + 17000 | Library catalog header | + | read into this block | + |----------------------------| + 17600 | OS/8 Field 1 resident | + ------------------------------ + + while ERROR is the local error processing routine, called with a + pointer to the appropriate error message in the accumulator. + + The major pass 1 and pass 2 subroutines, described below, operate on + the loader internal tables, whose format is presented later in this + chapter. The subroutines are presented in approximately the order + that they occur in the source listing. + + + + + 3-2 + + + + LOADER PASS 1 (SYMBOL RESOLUTION) + ------------------------------ + 00000 | Pass 1 and Pass 2 | FIELD 0 + | utility routines | + |----------------------------| + 01400 | Symbol map printer | + |----------------------------| + 02000 | Pass 2 | + |----------------------------| + 03200 | Pass 1 symbol collection | + |----------------------------| + 04000 | Inter-pass code allocates | + | storage, builds and writes | + | Loader Image Header Block. | + |----------------------------| + 04600 | Library catalog loads | + | here in 8K. Unused in | + | 12K or more. | + |----------------------------| + 07200 | Input device handlers | + |----------------------------| + 07600 | OS/8 Field 0 resident | + |----------------------------| + 10000 | ESD table | FIELD 1 + | | + 11400 | | + |----------------------------| + 12000 | Symbol table | + |----------------------------| + 15400 | Overlay length table | + |----------------------------| + 16000 | Module count and module | + | tables (MCTTBL, MODTBL) | + |----------------------------| + 17200 | Loader header | + |----------------------------| + 17400 | ESD reference page | + |----------------------------| + 17600 | OS/8 Field 1 resident | + |----------------------------| + 20000 | Library catalog loads here | FIELD 2 + | in 12K or more. | + |----------------------------| + 25000 | OS/8 BATCH processor if | + | 12K or more and BATCH | + | is running | + ------------------------------ + + + + + + + + + 3-3 + + + + LOADER PASS 2 (LOADER IMAGE BUILDER) + ----------------------------------- + 00000 | Utility routines: Symbol table | FIELD 0 + | look-up, TTY message handler, | + | OS/8 block I/O, MCTTBL | + | processor. | + |---------------------------------| + 01400 | Routine to print symbol map. | + |---------------------------------| + 02000 | Pass 2 | + |---------------------------------| + 03200 | Binary buffer #1 | + | | + |---------------------------------| + 05200 | Binary buffer #2 | + | | + |---------------------------------| + 07200 | I/O device handlers | + |---------------------------------| + 07600 | OS/8 Field 0 resident | + |---------------------------------| + 10000 | RALF module text loads | FIELD 1 + | here if 8K. | + |---------------------------------| + 12000 | Symbol table | + | | + |---------------------------------| + 15400 | Overlay length table | + |---------------------------------| + 16000 | MCTTBL and MODTBL | - + |---------------------------------| | + 17200 | Binary section table and | > symbol map + | binary buffer (LDBUFS) table | | output buffer + |---------------------------------| | + 17400 | ESD reference page | - + |---------------------------------| + 17600 | OS/8 Field 1 resident | + |---------------------------------| + 20000 | Binary buffer #3, if >8K | FIELD 2 + |---------------------------------| + 22000 | Binary buffer #4, if >8K | + |---------------------------------| + 24000 | Binary buffer #5, if >12K | + |---------------------------------| + 26000 | Unused | + |---------------------------------| + 30000 | RALF module text loads | FIELD 3 + | here if >12K | + ----------------------------------- + + + + + + + 3-4 + + + + SETBPT Sets words BPTR and BPT2 to contain AC and AC+1, + respectively. + + TTYHAN Subroutine to unpack and print a TEXT message on the + console terminal. TTYHAN is called by: + + CDF CURRENT + CIF 0 + JMS TTYHAN + CDF MSGFIELD + MSG + + RTNOS8 Prints a fatal error message and then returns to the + OS/8 monitor. A pointer to the message must follow + the JMS RTNOS8. + + IOHAN Used to execute all I/O under OS/8. The calling + sequence is: + + TAD (ACARG /Optional + CDF CURRENT + CIF 0 + JMS IOHAN + ADDR + ARG1 + ARG2 + ARG3 + + where ARG1, ARG2 and ARG3 are standard OS/8 device + handler arguments and ADDR points to a three-word block + in field 1 which contains the OS/8 unit number in word + 1, the file length in word 2, and the starting block + number in word 3. + + If ACARG is zero, the indicated I/O operation is + executed after the handler has been FETCHed, if + necessary. If ACARG=n (greater than zero), the handler + for OS/8 unit n is FETCHed, no I/O is done, and the + four arguments that conclude the calling sequence are + not needed. + + ADVOVR Called to initialize the loader to accept a new input + module. ADVOVR determines whether a new overlay or + level is being started by accessing the module count + table. If so, it sets various pointers and internal + counters accordingly, rounds the previous overlay to + terminate on a 200 word boundary, and updates the + length of the previous level, if necessary, as the + maximum of its constituent overlay lengths. + + NXTOVR Called by ADVOVR when the next input module will be the + first module in a new overlay. + + + + 3-5 + + + + SETCNT Initializes the pointers and counters used by ADVOVR. + SETCNT is called once at the beginning of each pass. + + LOOK Executes a symbol look-up in the loader symbol table. + LOOK is called by: + + TAD (Pointer to symbol name in + RALF ESD format + JMS LOOK + RETURN here if not found + RETURN here if found + GPTR points to word following entry name + + If the symbol is not found, it is inserted into the + loader symbol table and GPTR is set to point to the + word following the symbol name. + + SYMMAP Produces the symbol map. + + PUTSYM Enters an ESD symbol in the loader symbol table. PUTSYM + calls LOOK to determine whether the symbol is already + present in the symbol table and, if so, verifies that + the symbol is not multiply defined. Otherwise, it + copies the ESD data words into the symbol table entry, + updates the length of the current overlay by the length + associated with the symbol, and links the symbol to its + parent symbol, if any. + + FIT Fits a section into core by subtracting its length from + the amount of core still available and substituting its + load address for its length in the symbol table. + + DO8S, FIT8S Fits an 8-mode section into core by calling FIT and + then checking for field 1 overflow. + + SETREF Extracts data from the ESD table of the current module + and initializes the ESD reference page at 17400. + + BLDTV Builds the transfer vector. A transfer vector entry + is created for each subroutine in an overlay. This + entry provides the information that the run-time system + will require in order to load the overlay containing + the referenced subroutine. + + NEWORG Called whenever an origin is found in an input module, + to map the location referenced by the origin into a + block of the loader image file and an address within + that block. + + NEWBB Called whenever a new binary buffer is needed during + loader image file construction. NEWBB scans a list of + available buffers and dumps the content of the least + recently accessed buffer to free up space for new data. + + + 3-6 + + + + MERGE Relocates an input word pair and outputs it to the + loader image file. + + GETCTL Gets a control byte from the input module and incre- + ments its return address by the content of the control + byte. + + PUTBIN Inserts words, sequentially, into the current binary + buffer. When the buffer is full, PUTBIN calls NEWBB to + execute output to the loader image file and supply a + new buffer. + + TXTSCN Called once for each input module. TXTSCN reads and + relocates an entire input module, executing calls to + MERGE, PUTBIN and NEWORG as needed. + + + + SYMBOL TABLE + + + + The loader symbol table begins at location 12000 and contains room for + 26 (decimal) permanent system symbol entries and 218 (decimal) user + entries. Each entry is 7 words long, and provides the name and + definition of a symbol. The table is organized in buckets according + to the first character of the symbol, which must be A to Z, #, or + blank (for blank COMMON). The table of bucket pointers begins at + location 12000 with the pointer to bucket A, and consists of one word + per bucket. This word contains a value of zero, if there are no + symbols in the corresponding bucket, or else the address of the first + symbol in the bucket. + + Symbols within a bucket are arranged in alphabetical order, with each + symbol entry pointing to the following entry, and the last entry + pointing to zero. Thus, the symbol table appears as a set of threaded + lists in core. The format of a symbol table entry is: + + + + + + + + + + + + + + + + + + + 3-7 + + + ------------------------------ + | Pointer to next symbol in | + | bucket (zero if none). | WORD 1 + |----------------------------| + | S | Y | WORD 2 + |----------------------------| + | M | B | WORD 3 + |----------------------------| + | O | L | + |----------------------------| + | | 3-bit | 4-bit | | + | * | level | overlay | ** | + | | # | # | | + |----------------------------| + | 9-bit pointer to | | + | parent symbol | | + | during pass 1 | | + | (zero if none). | Field | + | Trap vector | bits | + | displacement | | + | during pass 2. | | + |----------------------------| + | ADDRESS | + | (Length during pass 1) | + ------------------------------ + + + * 1-bit trap vector flag during pass 1. Error flag during pass 2. + + ** 4-bit type code + 0- undefined + 1- entry point + 2- extern + 3- common sect + 4- program sect + 5- multiple entry point + 6- multiple sect + 7- SECT8 sect + 10- COMMZ + 11- FIELD1 + 12 to 17- undefined + + Several special symbols are created by the loader. The symbol #YLVLn, + where n is an octal digit, describes overlay level n. This symbol + table entry contains the length of level n during pass 1 and the + starting address of level n during pass 2. + + The symbol #YTRAP describes the trap vector, a method by which the + run-time system controls automatic overlaying of user subroutines. + Four words are allocated in the trap vector for each entry point in + every overlay except overlay #MAIN. The symbol table entry for #YTRAP + contains the accumulated length of the trap vector during pass 1 and + the trap vector starting address during pass 2. + + + + 3-8 + + + + ESD CORRESPONDENCE TABLE (ESDPG) + + + + The ESD correspondence table begins at location 17400 and contains 128 + (decimal) 1-word entries. This table establishes the correspondence + between the local ESD reference numbers used to reference a symbol + inside a RALF module, and the address of that symbol in the loader + symbol table. The nth entry in the ESD correspondence table points to + the address of ESD symbol n. + + + + BINARY BUFFER TABLE (LDBUFS) + + + + The binary buffer table begins at location 17247 and contains from two + to ten entries, depending upon the amount of memory available. Each + entry is 4 words in length. The binary buffers function as windows + into the loader image file, through which the loaded program is + written onto mass storage. Each binary buffer is 8 pages (4 OS/8 + blocks) in length. The loader tries to minimize the amount of "window + turning" necessary to buffer the binary data by keeping a record of + the last time each buffer was referenced. In this way, when the + content of a binary buffer must be dumped to make room for new data, + the loader empties that buffer which was least recently used. + + In addition, program loading is overlay oriented such that only one + overlay is loaded at a time and while any specific overlay is being + loaded, only origins inside that overlay are legal. + + The format of a binary buffer table entry is: + + ------------------------------------ + | Pointer to the binary buffer of | + | "next earliest reference", i.e., | + | the youngest buffer older than | WORD 1 + | this buffer. Contains zero if | + | this buffer is oldest. | + |----------------------------------| + | Loader image block #. Contains | + | zero if buffer has not been used.| WORD 2 + |----------------------------------| + | Blocks left in current overlay | + | If <4, only part of buffer will | WORD 3 + | be dumped. | + |----------------------------------| + | Page address | Buffer | | + | of buffer. | field | Unused | WORD 4 + | | bits | | + ------------------------------------ + + + + 3-9 + + + + The number of binary buffers used varies with the amount of memory + available as follows: + + ------------------------------------------- + MEMORY | NO. OF + AVAIL | BUFFERS + -------------------|----------------------- + 8K | 2 + 12K | 4 + 16K | 5 + 20K | 7 + 24K | 10 (decimal) + 28K | 10 (decimal) + 32K | 10 (decimal) + ------------------------------------------- + + + + BINARY SECTION TABLE + + + + The binary section table overlays the loader image header block + (described under FRTS) after the latter has been written into the + loader image file at the beginning of pass 2. Thus, the binary + section table begins at location 17200 and contains eight 4-word + entries. Each entry relates the core origin of one of the eight + overlay levels to that level's position in the loader image file. + The format of a binary section table entry is: + + ----------------------------------- + | | Field | + | Unused | of | WORD 1 + | | level | + |---------------------------------| + | Address of level | WORD 2 + |---------------------------------| + | Relative block # | WORD 3 + |---------------------------------| + | Length (in blocks) | WORD 4 + ----------------------------------- + + + OVERLAY TABLE (OVLTBL) + + + The overlay table begins at location 15435 and contains room for 113 + (decimal) 2-word entries. There is one entry for each overlay + defined, including overlay MAIN, with each entry designating the + length in words, of the corresponding overlay. The format of an + overlay table entry is: + + + + + 3-10 + + + + OVLTBL + ----------------------- + | LEVEL MAIN | + |---------------------| Negated to indicate + | LEVEL 1 OVERLAY 1 | last table entry + |--------/\/----------| / + . - / + . | ------------------- / + . | | HIGH-order bits |/ + |--------/\/----------| | | of length | WORD 1 + | LEVEL m OVERLAY n-1 |>----< |-----------------| + |---------------------| | | LOW-order bits | + | LEVEL m OVERLAY n | | | of length | WORD 2 + |---------------------| | ------------------- + | OVLTBL format | - individual entry (2 words) + ----------------------- + + + + MODULE DESCRIPTOR TABLE (MODTBL) + + + + The module descriptor table begins at location 16172 and contains + room for 172 (decimal) 3-word entries. Each entry provides the + information needed to locate an input module. The first MODTBL entry + corresponds to the library file to be used in building the current + loader image. Successive entries correspond to input modules and + appear in the order that the modules were specified by the user, + (i.e., in ascending order by level, and ascending by overlay within + any given level.) At the end of pass 1, entries corresponding to + individual library modules are appended to the end of the table, even + though the library modules load into level MAIN. The table format is: + + + MODTBL + -------------------------------- + | FORLIB.RL or user- | + | specified library | - + |------------------------------| | -------------------------- + | Level MAIN module #1 | | | OS/8 I/O unit # | + |------------------------------| | |------------------------| + | Level MAIN module #2 | < | File length (positive) | + |------------------------------| | |------------------------| + | Level MAIN module #3 | | | Starting block # | + |----/\/----------------\/\----| | -------------------------- + - + |----/\/----------------\/\----| + | Level MAIN module n | MODTBL format of + |------------------------------| individual entry (3 words) + | Level 1 Overlay 1 module #1 | + |------------------------------| + | Level 1 Overlay 1 module #2 | + |----/\/----------------\/\----| + + 3-11 + + + + |----/\/----------------\/\----| + | Level 1 Overlay 1 module #n | + |------------------------------| + | Level 1 Overlay 2 module #1 | + |----/\/----------------\/\----| + . + . + . + |----/\/----------------\/\----| + | Level m Overlay n module #p | + |------------------------------| + | Library module #1 | + |------------------------------| + | Library module #2 | + |----/\/----------------\/\----| + + MODTBL format + + + + MODULE COUNT TABLE (MCTTBL) + + + + The module count table begins at location 16000 and contains room for + 122 (decimal) 1-word entries that give the (two's complement) module + count for each overlay level. The table format is: + + + MCTTBL + ------------------------- + | LEVEL MAIN | 1-word ENTRIES + |-----------------------| + | 0 | + |-----------------------| + | LEVEL 1 OVERLAY 1 | + |-----------------------| + | LEVEL 1 OVERLAY 2 | + |-----------------------| + | LEVEL 1 OVERLAY 3 | + |----/\/---------\/\----| + + |----/\/---------\/\----| + | LEVEL 1 OVERLAY n | + |-----------------------| + | 0 | + |-----------------------| + | LEVEL 2 OVERLAY 1 | + |-----------------------| + | LEVEL 2 OVERLAY 2 | + |----/\/---------\/\----| + + + + + 3-12 + + + + + |----/\/---------\/\----| + | LEVEL 2 OVERLAY n | + |-----------------------| + | 0 | + |-----------------------| + | LEVEL 3 OVERLAY 1 | + |----/\/---------\/\----| + . + . + . + |----/\/---------\/\----| + | LEVEL m OVERLAY n | + |-----------------------| + | 0 | + |-----------------------| + | 0 | + ------------------------- + + If an overlay or level is not defined for a specific program, there is + no module count table entry corresponding to that overlay or level. + + The loader image file, produced by the loader and read as input by the + run-time system, consists of a header block followed by a binary image + of each level defined in the FORTRAN IV job. + + ----------------------------------- ----------- + | HEADER | LEVEL | LEVEL / / LEVEL | + | BLOCK | MAIN | 1 \ \ n | + | | | / / | + ---------------------------------- ------------ + + + The loader image file header block contains information in the + following format: + + LOCATION CONTENTS + 0 2 -- Identifies the file as a loader image file. + 1-2 Initial SWAP arguments to load level MAIN. + 3-4 Highest address used by core load, including overlays + but not including OS/8 device handlers. + 5 Loader version number. + 6 Double-precision flag. + 7-46 User overlay information table containing one 4-word + entry per overlay level (the level MAIN entry is + ignored) in the following format: + + + + + + + + + + 3-13 + + + + --------------------------------------- + | Unused until SWAP time. Must | WORD 1 + | be positive or zero. | + |-------------------------------------| + Load | Page | Bits 4-5 | Field | Bits 9-11 | WORD 2 + address ---> | bits | unused | bits | unused | + |-------------------------------------| + | Block number of this level, | WORD 3 + | relative to header block. | + |-------------------------------------| + | Length of overlays in this level, | WORD 4 + | in blocks. | + --------------------------------------- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 3-14 + + + + CHAPTER 4 + + THE FORTRAN IV RUN-TIME SYSTEM + + + The FORTRAN IV run-time system supervises execution of a FORTRAN job + and provides an I/O interface between the running program and the OS/8 + operating system. FRTS includes its own loader, which should not be + confused with LOAD, the system loader. It executes with only one + overlay, used to restore the resident monitor and effect program + termination. The run-time system was designed to permit convenient + modification or enhancement, and it is well documented in the assembly + language source, available from the Software Distribution Center, + which includes extensive comments. + + One of the most valuable modifications to FRTS provides for the + inclusion of background (or idle) jobs. When FORTRAN is waiting for + I/O operations or the FPP to complete execution, the PDP-8 or PDP-12 + processor is sitting in an idle loop. An idle job may be executed by + the PDP-8 or PDP-12 CPU during this time, perhaps for the purpose of + refreshing a CRT display, for example, or monitoring a controlled + process. To indicate such a job, the idle wait loop must be modified + to include a reference to the user's PDP-8 routine. The routine #IDLE + in FRTS must be changed as part of the user's subroutine from + + #IDLE, JMP .+4 to #IDLE, SKP + 0 ADDUSR + CDF CIF FLDUSR + JMS I .-2 JMS I .-2 + + Devices issuing interrupts may be added to the interrupt skip chain so + that FORTRAN checks the user's device as well as system devices. The + original code is: + + #INT, JMP .+4 + 0 + CDF CIF + JMS I .-2 + + + and must be changed, as above, to: + + #INT, SKP + ADDUSR + FLDUSR + JMS I .-2 + + In both cases, ADDUSR should be the address of the user's routine, and + FLDUSR should be the memory field of the user's routine. + + The idle job is initiated by the subroutine HANG in the run-time + system. Hang should only be called when the FORTRAN program must wait + for an I/O device flag. The calling sequence is: + + + 4-1 + + + + EXTERN #HANG + IOF /Important. + CDF n /Where n is current field. + CIF 0 + JMS% HANG+1 + ADDRSS + /Return here with interrupts OFF + /When device flag is raised. + + HANG, ADDR #HANG + + The word ADDRSS must point to a location in page 400 of the run-time + system which must normally contain a JMP DISMIS. Three such locations + have been provided for the user at #DISMS, #DISMS+1, and #DISMS+2. The + selected location must be the location via which the interrupt caused + by the desired flag is dismissed. No two flag routines should use the + same dismiss location. The following program example illustrates + these calling conventions. This routine may be used to drive a + Teletype terminal via the PT08 option. + + EXTERN #ONQI + EXTERN #DISMS + FIELD1 GETCH /JMS GETCH GETS A CHAR + 0 /GETCH RUNS IN FIELD I ONLY + ISZ FIRST + JMP NOTFST + JMS% ONQI+1 + KSF1 + ADDR KSFSUB + TAD DISMIS+1 /SET UP TO CALL HANG + DCA HNGLOC + NOTFST, IOF + TAD INCHR + SZA CLA + JMP GOT1 + CIF 0 + JMS% HANG+1 /NO CHAR READY: HANG + HNGLOC, 0 + /HANG RETURNS W/ IOF + GOT1, TAD INCHR + DCA FIRST + DCA INCHR + TAD FIRST + ION + JMP% GETCH + /INTERRUPT ROUTINE - + KSFSUB, 0 /CALLED AS SUBROUTINE + KRB1 + DCA INCHR + CDF CIF 0 + JMP% DISMIS+1 /RETURN TO SYSTEM LOCATION + /CONTAINING "JMP DISMIS" + INCHR, 0 + ONQI, ADDR #ONQI + + 4-2 + + + + HANG, ADDR #HANG + DISMIS, ADDR #DISMS + FIRST, -1 + + In most cases, it is easier to include references to the FORLIB module + ONQI for adding a handler to the interrupt skip chain and ONQB for + adding a job to the idle chain, instead of trying to modify #IDLE and + #INT. ONQB provides slots for up to 9 idle jobs to be executed + round-robin, and ONQI provides for up to 9 user flags to be tested on + program interrupts. + + FRTS entry points are listed, along with the core map, on the + following pages. The FRTS calling sequence must be observed in any + user subroutine. The formal calling sequence is illustrated below. In + general, it can be used exactly as illustrated, changing only the + section, entry, base page, index register and return location names. + + + + FRTS CALLING SEQUENCE + + + + SECT EXAMPL /Section name. Your module may + /require another section pseudo-op + /such as FIELD1 or SECT8. + JA #EXSRT /Jump to start of subroutine + /Use # for first character + TEXT +EXAMPL+ /6 character section name for + /error traceback (optional) + EXAMXR, SETX XREXAM /Set up index registers + /for this subroutine + SETB BPEXAM /and its base page. + BPEXAM, F 0.0 /Base page + XREXAM, F 0.0 /Index registers 0-2 + F 0.0 /Index registers 3-5 (optional) + EXTMP1, F 0.0 /Space between index registers + EXTMP2, F 0.0 /and the ORG for temporary + EXTMP3, F 0.0 /storage (optional) + ORG 10*3+BPEXAM /Location 30 of base page + FNOP /Force a two-word instruction + JA EXAMXR /Jump to base page for + /return to calling program + 0 /Force a two-word instruction + EXMRTN, JA . /Will be replaced by return jump + BASE 0 /Caller's base page + #EXSRT, STARTD /Start of subroutine + FLDA 10*3 /Get return jump from caller's + /base page + FSTA EXMRTN /Save in return location for + /this routine + FLDA 0 /Location 0 of caller's routine + /is a pointer to the argument list + SETX XREXAM /Change to EXAMPL's index registers + + 4-3 + + + + SETB BPEXAM /Change to EXAMPL's base page + BASE BPEXAM + FSTA BPEXAM /Save the pointer + LDX 1,1 /Set up index register 1 + FLDA% BPEXAM, 1 /Get address of argument list + FSTA EXTMP1 /Save the addresses + FLDA% BPEXAM, 1+ /of all passed arguments + FSTA EXTMP2 + FLDA% BPEXAM, 1+ + FSTA EXTMP3 /Continue for all arguments + . /to be picked up + . + . + STARTF /Start three-word instructions + FLDA% EXTMP1 + . + . + . + FLDA% EXTMP2 + . + . + . /Continue to get arguments + . /as required in routine + JA EXMRTN /Exit when done + + + + RTS ENTRY POINT USEAGE AND COMMENTS + + #UE TRAP3 #UE /Produces USER ERROR error message. + + #ARGER or TRAP4 #ARGER /Produces BAD ARG error message. + #ARGERR + + #READO TRAP3 #READO /Initializes + JA UNITNO /formatted + JA FORMAT /read operation. + + #WRITO TRAP3 #WRITO /Initializes + JA UNITNO /formatted + JA FORMAT /write operation. + + #RUO TRAP3 #RUO /Initializes unformatted + JA UNITNO /read operation. + + #WUO TRAP3 #WUO /Initializes unformatted + JA UNITNO /write operation. + + #RDAO TRAP3 #RDAO /Initializes + JA UNITNO /direct access + JA RECNO /read operation. + + + + + 4-4 + + + + #WDAO TRAP3 #WDAO /Initializes + JA UNITNO /direct access + JA RECNO /write operation. + + #RFSV TRAP3 #RFSV /Passes a variable to or from the read/ + /write processors via the floating AC. + + #RENDO TRAP3 #RENDO /Terminates a read/write operation. + + #ENDF FLDA UNITNO /Executes an + TRAP3 #ENDF /end file, + #REW or TRAP3 #REW /rewind, + #BAK or TRAP3 #BAK /backspace (depending upon the entry used) + /on the referenced I/O unit. + + #DEF TRAP3 #DEF /Opens a file + JA UNITNO /for direct access I/O. + JA RECORDS + JA FPNPR /(FPP numbers per record) + JA VARIABLE /Refer to DEFINE FILE statement + + #EXIT JSR #EXIT /Terminates current FORTRAN IV job. + + #SWAP TRAP3 #SWAP /Reads overlay OVLY into level LVL and + ADDR /jumps to ADR. ADDR is given by: + /ADDR=4000000*OVLY+100000*LVL+ADR + + #8OR12 /=00000001 if the CPU is a PDP-12. + + #IDLE Address of background job, used by ONQB. Contains: + + JMP I (NULJOB /Replace by SKP + 0 /Replace by addr of background job + CDF CIF 0 /Replace by field of background job + JMS I .-2 + JMP .-4 + + + + CORE LAYOUT OF FRTS + + NON-FPP FPP (Same as non-FPP + unless indicated) + ----------------------------------- + 0000 | Page zero (0120-0134 free) | + |---------------------------------| + 0200 | Most entry points, character | + | I/O handlers, interrupt | + | service, and HANG routine | + |---------------------------------| + 0600 | Format decoder; A, H, and ' | + | format processors, and EXIT | + |------\/\---------------/\/------| + + + 4-5 + + + + |------\/\---------------/\/------| + 1400 | REWIND, ENDFILE, BACKSPACE and | + | general unit initialization | + | DATABL table (3wds/unit) | + |---------------------------------| + 2000 | I, E, F and G output | + |---------------------------------| + 2400 | I, E, F and G input | + |---------------------------------| + 2600 | X, L and T formats and | + | GETHND routine | + |---------------------------------| + 3000 | Char in and char out routines | + | including OS/8 packing, editing | + | and forms control | + |---------------------------------| + 3400 | Binary and D. A. I/O, and | + | DEFINE FILE processor | + |---------------------------------| + 3600 | Overlay loader | + |---------------------------------| + 4000 | Input line buffer, overlay | + | and DSRN tables, FORMAT | + | parenth pushdown list, /P | + | processor and init flag clear | + |---------------------------------| + 4400 | Floating-point utilities (shift,| + | add, etc.) used even w/FPP | + |---------------------------------| + 4600 | Error routine and messages | + |---------------------------------| + 5200 | OS/8 handler area and part of | + | FRTS loader initialization | + |---------------------------------|----------------------------- + 5600 | FPP simulator | FPP start-up and trap | + | | routines | + | |----------------------------| + 6000 | | B and D format I/O | + |---------------------------------|----------------------------| + 6600 | Floating-point package and | Floating-point package | + | part of LPT ring buffer | (never used) and part of | + | | LPT ring buffer | + |---------------------------------|----------------------------- + 7400 | Most of LPT ring buffer | + |---------------------------------| + 7600 | OS/8 handler and field | + | 0 resident | + |---------------------------------| + 10000 | OS/8 User Service Routine | + |---------------------------------| + 12000 | FRTS loader tables, IONTBL | Locations 12000 to 17400 are + | | overlayed at execution time + |------\/\---------------/\/------| + + + 4-6 + + + + |------\/\---------------/\/------| + 12200 | FRTS loader: main flow | + |---------------------------------| + 12400 | program start-up (1) | + |---------------------------------| + 12600 | initialize and | + | configure system | + |---------------------------------| + 13000 | Load OS/8 handlers and assign | + | unit numbers to OS/8 files | + |---------------------------------| + 13400 | Utility and error routines, | + | error messages | + 14000 | | + |---------------------------------| + 15600 | FPP start-up and trap routines | Locations 14000 to 16777 are + |---------------------------------| used to save lower field 0 + 16000 | B and D format I/O | during loading of device + |---------------------------------| handlers and file + 16600 | EAE Floating-point package | specifications + |---------------------------------| + 17400 | Termination routine | Locations 17400 to 17777 are + |---------------------------------| written on SYS block 37 + 17600 | OS/8 field 1 resident | before program load and + |---------------------------------| restored on termination + + + + + + #INT /Address of user interrupt location, used by ONQI: + + JMP .+4 /Replace with SKP + 0 /Replace with address of interrupt + processor + CDF CIF 0 /Replace with field of interrupt + processor + JMS I .-2 + + #DISMS /Addresses first of three JMP DISMIS instructions + for use by specialized I/O routines. + + #HANG /Addresses I/O dismiss routine. + + #RETRN /Provides return from TRAP3. + + + + + ------------------------ + (1) Program start-up moves OS/8 handler to top of core, writes field + 1 resident onto SYS, and termination routine goes to FRTS to load + program. + + + 4-7 + + + + DSRN TABLE + + + + The DSRN table controls files and I/O devices used under OS/8 FORTRAN + IV ASCII, binary and direct access I/O operations, including + BACKSPACE, REWIND, and END FILE operations. The exact meaning of the + initials DSRN is one of the great, unanswered questions of FORTRAN IV + development and, as such, has considerable historical interest. The + DSRN table provides room for 9 entries; each entry is 9 words in + length, and contains the following data: + + WORD 1: (HAND) Handler entry point. If this value is positive, the + I/O device handler is a FORTRAN internal (character-oriented) + handler, and the remainder of the DSRN table entry is + ignored. If the value is negative, the handler is an OS/8 + device handler whose entry point is the two's complement of + the value. Entry points always fall in the range [7607, + 7777] for resident handlers or [5200, 5377] for non-resident + handlers. Space for non-resident handlers is allocated + downward from the top of memory, and the handlers are moved + into locations 5200 to 5577 before being called. + + WORD 2: (HCODEW) Handler code word. Bits 0-4 of this word specify + the page into which the device handler was loaded, while bits + 6-8 specify the memory field. If all of bits 0-8 are zero, + the handler is permanently resident. When any of these bits + are non-zero, the data is used to determine which handler, if + any, currently occupies locations 5200-5577. This eliminates + unnecessarily moving the content of memory. Bit 10 is set if + forms control has been inhibited on the I/O unit. Bit 11 is + set if the device handler can execute with the interrupt + system enabled. The data in bits 10 and 11 is obtained from + the IOWTBL table in the FRTS loader. + + WORD 3: (BADFLD) Buffer address and field. Bits 0-4 address the + memory page at which the I/O buffer for this unit begins, + while bits 6-8 specify the memory field. Unlike the FORTRAN + internal I/O unit buffers, OS/8 device handler buffers always + occupy two full pages of memory. Buffer space is allocated + upward from the top of the FORTRAN program. + + WORD 4: (CHRPTR) Character pointer. + + WORD 5: (CHRCTR) Character counter. Words 4 and 5 of each DSRN table + entry define the current character/position in the I/O buffer + as follows: + + + + + + + + + 4-8 + + + + Value of Character Next value Next value Special + CHRCTR position of CHRCTR of CHRPTR Conditions + ---------------------------------------------------------------------- + | Bits 4-11 of word | | | Refresh buffer if + -3 | addressed by | -2 | CHRPTR + 1 | input operation and + | CHRPTR | | | CHRPTR mod 256=0 + | | | | + -2 | " | -1 | " | none + | | | | + -1 | Bits 0-3 of words | | | + | addressed by | | | Dump buffer if + | CHRPTR-2 and | -3 | CHRPTR | output operation + | CHRPTR-1 | | | and CHRPTR mod + | | | | 256=0 + | | | | + ---------------------------------------------------------------------- + + + WORD 6: (STBLK) Starting block of file. + + WORD 7: (RELBLIC) Current relative block of file. That is, block to + be accessed next. + + WORD 8: (TOTBLK) Length of file in blocks. + + WORD 9: (FFLAGS) Status flags: + + Bit 0 - Has been written flag. Set to 1 if unit has + received output since last REWIND. + + Bit 1 - Formatted I/O flag. Set to 1 if an ASCII I/O + operation has occurred since last REWIND. + + Bit 2 - Unformatted I/O flag. Set to 1 if a binary or + direct access I/O operation has occurred since last + REWIND. Bits 1 and 2 are never set simultaneously. + + Bit 11- END FILEd flag. Set to 1 if unit has been END + FILEd. Bit 11 is not cleared by a REWIND. + + When any active unit is selected for an I/O operation, the DSRN table + entry for that unit is moved into 9 words on page 0. These 9 words + are tagged with the labels cited above. Upon completion of the I/O + operation, the 9 words are moved from page 0 back into the DSRN table. + + + + + + + + + + + + 4-9 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 3 + + /PAGE ZERO FOR FORTRAN IV RTS + + 0000 *0 /INTERRUPT STUFF + 00000 0000 0 + 00001 5402 JMP I .+1 + 00002 0400 INTRPT + 00003 5165 LPGET, LPBUFR /LINE PRINTER RING BUFFER FETCH + 00004 0000 TOCHR, 0 /TELETYPE STATUS WORD + 00005 0000 KBDCHR, 0 /KEYBOARD INPUT CHARACTER + 00006 0000 POCHR, 0 /P.T. PUNCH COMPLETION FLAG + 00007 0000 RDRCHR, 0 /P.T. READER STATUS + 00010 0000 FMTPXR, 0 /XR USED TO INDEX FORMAT PARENTH + 00011 3777 INXR, INBUFR-1 /XR USED TO GET CHARS FROM INPUT + 00012 0000 XR, 0 + 00013 0000 XR1, 0 + + 0016 *16 + 00016 0000 VEOFSW, 0 /USED BY "EOFCHK" TO STORE VARIABLE ADDRESS + 00017 0000 0 /*K* MUST BE IN AUTO - XR + 00020 0000 T, 0 /TEMPORARY + 00021 0000 DFLG, 0 /0 = F.P., 1 = D.P. + 00022 0000 INST, 0 /CURRENT INSTRUCTION WORD + + /IOH PAGE ZERO LOCATIONS + + 00023 0000 RWFLAG, 0 /READ/WRITE FLAG + 00024 0000 FMTTYP, 0 /TYPE OF CONVERSION BEING DONE + 00025 0000 EOLSW, 0 /EOL SW ON INPUT - CHAR POS ON OUT + 00026 0000 N, 0 /REPEAT FACTOR + 00027 0000 W, 0 /FIELD WIDTH + 00030 0000 D, 0 /NUMBER OF PLACES AFTER DECIMAL + 00031 0300 DATCDF, 0 /SUBROUTINE TO CHANGE DATA FIELD + 00032 0000 DATAF, 0 /CONTAINS VARIOUS CDF'S + 00033 5431 JMP I DATCDF /RETURN + 00034 5013 ERR, ERROR /POINTER TO ERROR ROUTINE + 00035 0000 FATAL, 0 /FATAL ERROR FLAG - 0=FATAL + 00036 5000 MCDF, MAKCDF + + /FPP PARAMETER TABLE LOCATIONS: + + 00037 0000 APT, 0 /VARIOUS FIELD BITS FOR FPP + 00040 5313 PC, DPTEST /FPP PROGRAM COUNTER + 00041 0000 XRBASE, 0 /FPP INDEX REGISTER ARRAY ADDRESS + 00042 0000 BASADR, 0 /FPP BASE PAGE ADDRESS + 00043 0000 ADR, 0 /ADDRESS TEMPORARY + 00044 0000 ACX, 0 + 00045 0000 ACH, 0 /*** FLOATING ACCUMULATOR *** + 00046 0000 ACL, 0 + 00047 0000 EAC1, 0 + 00050 0000 EAC2, 0 /** FOR EXTENDED PRECISION OPTION ** + 00051 0003 EAC3, 0 + + + 4-10 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 4 + + /FLOATING POINT PACKAGE LOCATIONS + + 00052 0000 AC0, 0 + 00053 0000 AC1, 0 /FLOATING AC OVERFLOW WORD + 00054 0000 AC2, 0 /OPERAND OVFLOW WORD + 00055 0000 OPX, 0 + 00056 0000 OPH, 0 /*** FLOATING OPERAND REGISTER *** + 00057 0000 OPL, 0 + + /RTS I/O SYSTEM LOCATIONS + + 00060 0000 FMTBYT, 0 /FORMAT BYTE POINTER + 00061 0000 IFLG, 0 /I FORMAT FLAG + 00062 0000 GFLG, 0 /G FORMAT FLAG + 00063 0000 EFLG, 0 /E FORMAT FLAG - SOMETIMES ON FOR + 00064 0000 OD, 0 + 00065 0000 SCALE, 0 + 00066 0000 PFACT, 0 /P-SCALE FACTOR + 00067 0000 PFACTX, 0 /TEMP FOR PFACT + 00070 0000 INESW, 0 /EXPONENT SWITCH + 00071 0000 CHCH, 0 + 00072 0000 FMTNUM, 0 /CONTAINS ACCUMULATED NUMERIC VALUE + 00073 0000 CTCINH, 0 /^C INHIBIT FLAG + 00074 0320 PTTY, TTY /POINTER TO TTY HANDLER - USED BY + 00075 0000 0 / SO FORMS CONTROL WILL WORK ON + 00076 6001 FPNXT, ICYCLE /USED AS INTERPRETER ADDRESS IF + + /DSRN IMAGE + + 00077 0000 HAND, 0 /HANDLER ENTRY POINT + 00100 0000 HCODEW, 0 /HANDLER LOAD ADDR & FIELD + IOFFL + 00101 0000 BADFLD, 0 /BUFFER ADDRESS AND FIELD + 00102 0000 CHRPTR, 0 /ACTUALLY A WORD POINTER + 00103 0000 CHRCTR, 0 /COUNTER - RANGES FROM -3 TO -1 + 00104 0000 STBLK, 0 /STARTING BLOCK OF FILE + 00105 0000 RELBLK, 0 /CURRENT RELATIVE BLOCK NUMBER + 00106 0000 TOTBLK, 0 /LENGTH OF FILE + 00107 0000 FFLAGS, 0 /FILE FLAGS: + /BIT 0 - "HAS BEEN WRITTEN" FLAG + /BITS 1-2 - FORMATTED/UNFORMATTED + /BIT 11 - "END-FILED" FLAG + 00110 0000 BUFFLD, 0 /ROUTINE TO SET DF TO BUFFER FIELD + 00111 7402 BUFCDF, HLT + 00112 5510 JMP I BUFFLD + 00113 0000 FGPBF, 0 /THESE THREE WORDS ARE USED + 00114 0000 BIOPTR, 0 /TO FETCH AND STORE FLOATING POINT + 00115 0000 FEXIT /FROM RANDOM MEMORY + 0200 PAGE + + + + + + 4-11 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 5 + + /STARTUP CODE + + 00200 2203 FTEMP2, ISZ .+3 /ALSO USED AS I/O F.P. TEMPORARY + 00201 6213 CDF CIF 10 + 00202 5603 JMP I .+1 + 00203 2200 VDATE, RTSLDR /USED TO STORE OS/8 DATE + + /RTS ENTRY POINTS - "VERSION INDEPENDENT" + + 00204 5777 VUERR, JMP I (USRERR /USER ERROR + /** LOADER MUST DEFINE #ARGER AS + 00205 4434 VARGER, JMS I ERR /LIBRARY ARGUMENT ERROR + 00206 2023 VRENDO, ISZ RWFLAG /END OF I/O LIST + 00207 5634 VRFSV, JMP I GETLMN /I/O LIST ARG ENTRY - COROUTINE + 00210 5776 VBAK, JMP I (BKSPC /"BACKSPACE" ROUTINE + 00211 5775 VENDF, JMP I (ENDFL /"END FILE" ROUTINE + 00212 5774 VREW, JMP I (RWIND /"REWIND" ROUTINE + 00213 5773 VDEF, JMP I (DFINE /"DEFINE FILE" ROUTINE + 00214 7330 VWUO, AC4000 /UNFORMATTED WRITE + 00215 5772 VRUO, JMP I (RWUNF /UNFORMATTED READ + 00216 7330 VWDAO, AC4000 /DIRECT ACCESS WRITE + 00217 5771 VRDAO, JMP I (RWDACC /DIRECT ACCESS READ + 00220 7330 VWRITO, AC4000 /FORMATTED (ASCII) WRITE + 00221 5770 VREADO, JMP I (RWASCI /FORMATTED (ASCII) READ + 00222 5767 VSWAP, JMP I (SWAP /OVERLAY PROCESSOR + 00223 3000 VEXIT, TRAP3; CALXIT /"STOP" ROUTINE - ENTERED IN FPP + 00224 1317 + 00225 0000 V8OR12, 0;0 /0;1 IF CPU IS A PDP-12 + 00226 0000 + 00227 5766 VBACKG, JMP I (NULLJB /BACKGROUND JOB DISPATCHER + 00230 0000 0 + 00231 6203 CDF CIF 0 /USED BY ROUTINE "ONQB" IN LIBRARY + 00232 4630 JMS I .-2 + 00233 5227 JMP VBACKG + + /IOH GET VARIABLE ROUTINE. + /THIS ROUTINE MAKES THE FORMATTED I/O PROCESSOR AND THE + /PROGRAM CO-ROUTINES (DEF(COROUTINE): 2 ROUTINES EACH + / IS A SUBROUTINE). ON ENTRY FAC=INPUT NUMBER + /IF I/O IS A READ, ON RETURN FAC=OUTPUT NUMBER IF I/O + + 00234 0000 GETLMN, 0 + 00235 5577 VRETRN, JMP I [RETURN + + + + + + + + + + + 4-12 + + + + All FORTRAN IV mass storage I/O is performed in terms of OS/8 blocks, + including direct access I/O. Hence, all FORTRAN IV files conform to + OS/8 standard ASCII file format. When a formatted READ or WRITE is + requested, the data is converted to or from 8-bit binary representa- + tion according to the FORMAT statement associated with the READ or + WRITE. Standard OS/8 file format packs three 8-bit characters into + two 12-bit words as follows: + + MASS STORAGE CORE + ----------------------------- ------------------ + | WORD 3 | | | WORD 1 | + | bits 0-3 | WORD 1 | |----------------| + |---------------------------- | WORD 2 | + | WORD 3 | | |----------------| + | bits 4-7 | WORD 2 | | WORD 3 | + ----------------------------- ------------------ + + Unformatted (i.e. direct access) READ and WRITE operations also + operate on standard OS/8 format files, with each statement causing one + FORTRAN IV record to be read or written. A FORTRAN IV record must + contain at least one OS/8 block, and always contains an integral + number of blocks. The number of variables contained in a 1-block + record depends upon the content and format of the I/O list, as + follows: + + Number of 12-bit Number of + Format type Words/Variable Variables/Block + ___________ ________________ _______________ + + Integer 3 85 + Real 3 85 + Double precision 6 42 1/2 + Complex 6 42 1/2 + + It is possible to mix any types of data in an I/O list; however, no + more than 85 variables may be stored in one OS/8 block. The number of + blocks required for a FORTRAN IV record depends, therefore, upon the + number of variables in the I/O list, and may be minimized by supplying + every direct access WRITE with sufficient data to nearly fill an + integral number of blocks without overflowing the last block. + + The last word in every file block contains a block count sequence + number and is not available for data storage. FRTS assigns block + count numbers sequentially, beginning with 1, whenever a file is + written. Block count numbers must be maintained by the user when + FORTRAN IV files are created outside of an OS/8 FORTRAN IV + environment. While reading a binary file, FRTS checks the block count + sequence numbers on input blocks and ignores any block whose sequence + number is larger than expected. Sequence number checking is disabled + during direct access READ operations. + + When FRTS is loaded and started, the initialization routines deter- + mine what optional hardware, such as FPP-12 Floating Point Processor + or KE8E Extended Arithmetic Element, is present in the running + hardware configuration. The initialization routines then modify FRTS + + 4-13 + + + + to use the optional hardware, if available. When an FPP is present in + the system and it becomes desirable to disable the FPP under FRTS, + this may be accomplished by changing the content of location 12621 + from 6555 to 7200. The extended arithmetic element may be disabled in + the same manner by changing the content of FRTS location 12623 from + 7413 to 7200. These changes must be made before FRTS is started. The + OS/8 monitor GET and ODT commands provide an excellent mechanism for + changes of this type. + + The FRTS internal line printer handler uses a linked ring buffer for + maximum I/O buffering efficiency. The buffer consists of several + contiguous sections of memory, linked together by pointers. All of + these buffer segments are located above 04000, so that the pointers + are readily distinguishable from buffered characters. The entire + 07400 page is included in the line printer ring buffer. If it becomes + desirable to modify FRTS by patching or reassembly, most of the 07400 + page may be reclaimed from the buffer by changing the content of + location 07402 from 7577 to 5164. This frees up locations 07403 to + 07577 for new code and still leaves about eighty character positions + in the LPT ring buffer. + + Because FRTS executes with the processor interrupt system enabled, it + may hang up on hardware configurations that include equipment capable + of generating spurious program interrupts. In addition, any OS/8 I/O + device handler that exits without clearing all device flags may cause + troublesome interrupts when it is assigned as a FORTRAN I/O unit under + FRTS. To counteract these potential problems, FRTS provides certain + areas that are reserved for inclusion of user-generated code designed + to clear device flags and/or inhibit spurious interrupts. + + A string of NOP instructions beginning at location 04020 is executed + during FRTS initialization, just before the interrupt system is + enabled. When the /H option is specified to FRTS, the system halts + after these NOPs have been executed and the interrupt system has been + enabled. Another string of NOPs occupying the eight locations from + 03746 to 03755 is executed after every call to an OS/8 device handler. + Any of these NOP instructions may be replaced by flag-handling or + interrupt-servicing code. If additional memory locations are + required, they may be obtained by replacing some of the code from + locations 04007 to 04017 with flag-handling code. Locations 04007-17 + are used to clear flags associated with LAB-8/E peripheral devices. + + Due to memory limitations, it is not possible to add internal I/O + device handlers to the four internal handlers supplied with the + system. However, FORTRAN I/O unit 0, which is not defined by the ANSI + standard, may be specified for terminal I/O via the internal console + terminal handler. I/O unit 0 is not re-assignable. + + The FRTS /P option provides a mechanism whereby the core image gener- + ated from a FORTRAN program may be punched onto paper tape in binary + loader format. This permits the loader image to be executed on a + hardware configuration that does not include mass-storage devices. To + use the /P option, specify /P to FRTS and assign a device or file as + FORTRAN I/O unit 9. Assigning the paper tape punch as unit 9 causes + + 4-14 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 6 + + /INTERRUPT DRIVEN I/O HANDLERS + + 00236 0000 LPT, 0 /RING-BUFFERED - LP08 OR LS8E + 00237 0176 AND [377 /JUST IN CASE + 00240 7450 LPTSNA, SNA + 00241 5765 JMP I (IOERR /CANNOT BE USED FOR INPUT + 00242 6002 IOF + 00243 3667 DCA I LPPUT + 00244 1003 TAD LPGET + 00245 7041 CIA + 00246 1267 TAD LPPUT + 00247 7640 SZA CLA /IS LPT QUIET? + 00250 5253 JMP .+3 /NO + 00251 1667 TAD I LPPUT + 00252 6666 LLS /YES - START 'ER UP + 00253 7201 CLA IAC + 00254 6665 LIE /ENABLE LPT INTERRUPTS + 00255 1267 TAD LPPUT /1 IN AC, REMEMBER? + 00256 3267 DCA LPPUT + 00257 1667 TAD I LPPUT + 00260 7510 SPA + 00261 5256 JMP .-3 /NEGATIVE NUMBERS ARE BUFFER LINKS + 00262 7640 SZA CLA /ANY ROOM LEFT IN BUFFER? + 00263 4764 JMS I (HANG + 00264 0436 LPUHNG /WAIT FOR LINE PRINTER + 00265 6001 ION /TURN INTERRUPTS BACK ON + 00266 5636 JMP I LPT /RETURN + + 00267 5165 LPPUT, LPBUFR + + 00270 0000 PTP, 0 /PAPER TAPE PUNCH HANDLER + 00271 7450 SNA + 00272 5765 JMP I (IOERR /INPUT IS ERROR + 00273 3236 DCA LPT /SAVE CHAR + 00274 6002 IOF + 00275 1006 TAD POCHR /IF PUNCH IS NOT IDLE, + 00276 7640 SZA CLA /WE DISMISS JOB + 00277 4764 JMS I (HANG + 00300 0502 PPUHNG /WAIT FOR PUNCH INTERRUPT + 00301 1236 TAD LPT + 00302 6026 PLS /OUTPUT CHAR + 00303 3006 DCA POCHR /SET FLAG NON-ZERO + 00304 6001 ION + 00305 5670 JMP I PTP + + /*K* THE FOLLOWING ADDRESSES GET FALLEN INTO & MUST BE SMALL + + IFNZRO PPUHNG&7000 <--ERROR--> + IFNZRO TTUHNG&7000 <--ERROR--> + IFNZRO KBUHNG&7000 <--ERROR--> + IFNZRO RDUHNG&7000 <--ERROR--> + IFNZRO LPUHNG&7000 <--ERROR--> + + 4-15 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 7 + + /INTERRUPT-DRIVEN PTR AND TELETYPE HANDLER + + 00306 0000 PTR, 0 /CRUDE READER HANDLER + 00307 7640 SZA CLA + 00310 5765 JMP I (IOERR /OUTPUT ILLEGAL TO PTR + 00311 6002 IOF + 00312 6014 RFC /START READER + 00313 4764 JMS I (HANG + 00314 0510 RDUHNG /HANG UNTIL COMPLETE + 00315 1007 TAD RDRCHR /GET CHARACTER + 00316 6001 ION + 00317 5706 JMP I PTR /RETURN + 00320 0000 TTY, 0 /BUFFERS 2 CHARS ON OUTPUT, 1 ON + 00321 6002 IOF /DELICATE CODE AHEAD + 00322 7450 SNA /INPUT OR OUTPUT? + 00323 5342 JMP KBD /INPUT + 00324 3236 DCA LPT /OUTPUT - SAVE CHAR + 00325 1004 TAD TOCHR /GET TTY STATUS + 00326 7740 SMA SZA CLA /G.T. 0 MEANS A CHAR IS BACKED UP + 00327 4764 JMS I (HANG + 00330 0451 TTUHNG /WAIT FOR LOG JAM TO CLEAR + 00331 1004 TAD TOCHR /NO CHAR BACKED UP - SEE IF TTY + 00332 7104 CLL RAL /"BUSY" FLAG IN LINK - INTERRUPTS + 00333 7230 CLA CML RAR /COMPLEMENT OF BUSY IN SIGN + 00334 1236 TAD LPT /GET CHAR + 00335 7510 SPA /IF TTY NOT BUSY, + 00336 6046 TLS /OUTPUT CHAR + 00337 3004 DCA TOCHR /STORE POS OR NEG, BACKED UP + 00340 6001 TTYRET, ION /TURN INTERRUPTS BACK ON + 00341 5720 JMP I TTY /AND LEAVE + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 8 + + 00342 1005 KBD, TAD KBDCHR /HAS A CHARACTER BEEN INPUT? + 00343 7650 SNA CLA + 00344 4764 JMS I (HANG + 00345 0465 KBUHNG /NO - RUN BACKGROUND UNTIL ONE IS + 00346 1005 TAD KBDCHR /GET CHARACTER + 00347 3236 DCA LPT + 00350 3005 DCA KBDCHR /CHEAR CHARACTER BUFFER + 00351 1236 TAD LPT + 00352 5340 JMP TTYRET /RETURN WITH INTERRUPTS ON + + 00353 6554 KILFPP, FPHLT /BRING FPP TO A SCREECHING HALT + 00354 2353 ISZ .-1 + 00355 5354 JMP .-1 /WAIT FOR IT TO STOP + 00356 6552 FPICL /CLEAN UP MESS HALT HAS MADE IN FPP + 00357 7430 SZL /^C OR ^B? + 00360 5763 JMP I (7600 /^C - HIYO SILVER, AWAY! + 00361 6032 KCC /CLEAR KBD FLAG ON ^B + 00362 4434 CTLBER, JMS I ERR /*** THIS MAY BE DANGEROUS! ** + + 4-16 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 9 + + /INTERRUPT SERVICE ROUTINES + + 00400 3322 INTRPT, DCA INTAC + 00401 7010 RAR + 00402 3323 DCA INTLNK + 00403 5207 VINT, JMP .+4 /** MUST BE AT 403 ** + IFNZRO VINT-403 <--- CHANGE LOADER!!!> + 00404 0000 0 + 00405 6203 CDF CIF 0 /USER INTERRUPT ROUTINE GOES HERE + 00406 4604 JMS I .-2 + + 00407 6551 FPINT /CHECK FOR FPP DONE + 00410 5215 JMP LPTEST + 00411 5314 FPUHNG, JMP DISMIS /ALWAYS GOES TO RESTRT + + 00412 5314 VDISMS, JMP DISMIS /FOR USE BY USERS + 00413 5314 JMP DISMIS + 00414 5314 JMP DISMIS + 00415 6661 LPTEST, LSF + 00416 5240 JMP NOTLPT + 00417 6662 LPTLCF, LCF /CLEAR FLAG + 00420 1403 TAD I LPGET + 00421 7650 SNA CLA /CHECK FOR SPURIOUS INTERRUPT + 00422 5314 JMPDIS, JMP DISMIS /GO AWAY IF SO + 00423 3403 DCA I LPGET /ZERO CHAR JUST OUTPUT + 00424 2003 ISZ LPGET + 00425 1403 TAD I LPGET + 00426 7510 SPA + 00427 3003 DCA LPGET /TAKE CARE OF BUFFER LINKS + 00430 7450 SNA + 00431 1403 TAD I LPGET /HAKE SURE CHAR IS IN AC + 00432 7440 SZA /IS THERE A CHARACTER? + 00433 6666 LLS /YES - PRINT IT + 00434 7200 CLA + 00435 6661 LSF /CHECK FOR IMMEDIATE FLAG + 00436 5314 LPUHNG, JMP DISMIS /NO - MAYBE RESTART PROGRAM + 00437 5217 JMP LPTLCF /YES - LOOP + + 00440 6041 NOTLPT, TSF /CHECK TTY + 00441 5252 JMP NOTTTY + 00442 6042 TCF /CLEAR FLAG + 00443 1004 TAD TOCHR /GET TTY STATUS + 00444 7540 SMA SZA /IF THERE IS A CHARACTER WAITING, + 00445 6046 TLS /OUTPUT IT. + 00446 7740 SMA SZA CLA /CHANGE "WAITING" TO "BUSY", + 00447 7130 STL RAR /"BUSY" TO "IDLE". + 00450 3004 DCA TOCHR + 00451 5314 TTUHNG, JMP DISMIS + + + + + + 4-17 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 10 + + /KBD AND PTP INTERRUPTS + + 00452 6031 NOTTTY, KSF + 00453 5276 JMP NOTKBD + 00454 1175 TAD [200 + 00455 6034 KRS /USE KRS TO FORCE PARITY BIT + 00456 3005 DCA KBDCHR /AND ALSO SO THAT ^C WILL STILL + 00457 1005 TAD KBDCHR + 03460 1377 TAD (-202 /CHECK FOR ^C OR ^B + 00461 7110 CLL RAR + 00462 7650 SNA CLA + 00463 5266 JMP CTCCTB /YUP - TAKE SOME DRASTIC ACTION + 00464 6032 KCC /DATA CHARACTER - CLEAR FLAG + 00465 5314 KBUHNG, JMP DISMIS + + 00466 1073 CTCCTB, TAD CTCINH + 00467 7650 SNA CLA /ARE WE IN A HANDLER? + 00470 5366 JMP NOTINH /NO + 00471 1323 TAD INTLNK + 00472 7104 CLL RAL /YES - RETURN WITH INTERRUPTS OFF + 00473 1322 TAD INTAC /TRUST IN GOD AND RTS + 00474 6244 RMF + 00475 5400 JMP I 0 + + 00476 6021 NOTKBD, PSF + 00477 5303 JMP NOTPTP + 00500 6022 PCF /P.T. PUNCH INTERRUPT - CLEAR FLAG + 00501 3006 DCA POCHR /CLEAR SOFTWARE FLAG + 00502 5314 PPUHNG, JMP DISMIS + + 00503 6011 NOTPTP, RSF + 00504 5311 JMP LPTERR + 00505 1175 TAD [200 + 00506 6012 RRB /GET RDR CHAR + 00507 3007 DCA RDRCHR + 00510 5314 RDUHNG, JMP DISMIS + + 00511 6663 LPTERR, LSE /TEST FOR LP08 ERROR FLAG + 00512 7410 SKP + 00513 6667 LIF /DISABLE LP08 INTERRUPTS IF ERROR + 00514 1323 DISMIS, TAD INTLNK + 00515 7104 CLL RAL + 00516 1322 TAD INTAC /RESTORE AC AND LINK + 00517 6244 RMF + 00520 6001 ION + 00521 5400 JMP I 0 /RETURN FROM THE INTERRUPT + + 00522 0000 INTAC, 0 + 00523 0000 INTLNK, 0 + + + + + 4-18 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 11 + + /BACKGROUND INITIATE/TERMINATE ROUTINE + + 00524 0000 HANG, 0 /ALWAYS CALLED WITH INTERRUPTS OFF! + 00525 1724 TAD I HANG /GET POINTER TO UNHANGING LOCATION + 00526 3371 DCA UNHANG + 00527 6214 RDF /GET FIELD CALLED FROM + 00530 1332 TAD HCIDF0 + 00531 3364 DCA HNGCDF /SAVE FOR RETURN + 00532 6203 HCIDF0, CDF CIF 0 + 00533 1376 TAD (JMP RESTRT /CHANGE THE "JMP DISMIS" + 00534 3771 DCA I UNHANG /TO A "JMP RESTRT" + 00535 1373 TAD BACKLK + 00536 7104 CLL RAL + 00537 1372 TAD BACKAC /SET UP BACKGROUND AC AND LINK + 00540 6202 BAKCIF, CIF 0 + 00541 6201 BAKCDF, CDF 0 + 00542 6001 ION + 00543 5774 JMP I BACKPC /INITIATE BACKGROUND + + / COME HERE WHEN THE HANG CONDITION HAS GONE AWAY + + 00544 1222 RESTRT, TAD JMPDIS /RESTORE THE UNHANG LOCATION + 00545 3771 DCA I UNHANG + 00546 1322 TAD INTAC /SUSPEND THE BACKGROUND + 00547 3372 DCA BACKAC + 00550 1323 TAD INTLNK + 00551 3373 DCA BACKLK + 00552 1000 TAD 0 + 00553 3374 DCA BACKPC + 00554 6234 RIB + 00555 0174 AND [70 + 00556 1332 TAD HCIDF0 + 00557 3340 DCA BAKCIF + 00560 6234 RIB + 00561 4436 JMS I MCDF /*K* OK SINCE BACKGROUND DOESN'T + 00562 3341 DCA BAKCDF + 00563 2324 ISZ HANG + 00564 7402 HNGCDF, HLT + 00565 5724 JMP I HANG /INTERRUPTS ARE OFF - RETURN + 00566 1222 NOTINH, TAD JMPDIS /IN CASE WE WERE HUNG, WE DON'T + 00567 3771 DCA I UNHANG /TO GET "UNHUNG" OUT OF THE ERROR + 00570 5775 JMP I (KILFPP /KILL FPP AND GO TO EXIT OR ERROR + + 00571 0000 UNHANG, 0 + 00572 0000 BACKAC, 0 + 00573 0000 BACKLK, 0 + 00574 0227 BACKPC, VBACKG + 0524 VHANG= HANG + IFNZRO VHANG-0524 <--CHANGE LOADER!> + 00575 0353 + 00576 5344 + 00577 7576 + 0600 PAGE + 4-19 + + + + the image to be punched out directly; however, it may be desirable to + direct the binary output to an intermediate file for later transfer to + paper tape via OS/8 PIP. In any event, FRTS returns to the monitor + once the core image has been transferred. + + The output file is a binary image of memory locations 00000 to 07577 + and 10000 up to the highest location used by the FORTRAN load. The + content of each field is punched separately with its own checksum and + leader/trailer. + + With the BIN loader resident in field 0, load the binary tape produced + under the /P option by reading each segment separately and verifying + the checksum as each memory field is loaded. When all segments have + been read into memory, start execution at location 00200. The + following restrictions apply: + + 1. OS/8 device handlers which have been assigned FORTRAN I/O + unit numbers are not necessarily punched out. For this + reason, I/O unit assignments other than in the form /n=m + should be avoided. + + 2. With respect to the presence of an FPP and/or EAE, the con- + figuration on which the image is punched must be identical to + the configuration on which it is to be run. If the punching + configuration contains hardware that is absent from the + target configuration, this hardware must be disabled under + FRTS. If the target configuration contains hardware that is + absent from the punching configuration, the extraneous + hardware will not be used. + + 3. The statements STOP and CALL EXIT cause a core load produced + under the /P option to halt. Any fatal error flagged during + punching or execution causes error traceback followed by a + halt. Do not press CONTinue in response to either of these + machine halts. + + A FORTRAN IV program is terminated in one of three ways: + + 1. A fatal error condition is flagged (CTRL/B) is processed as a + fatal error. + + 2. CTRL/C is recognized, or the CPU is halted and re-started in + 07600. + + 3. A STOP, CALL EXIT, or (under RALF) JSR #EXIT statement is + executed. + + The sequence of events that results in program termination proceeds as + follows: + + + + + + + 4-20 + + + + Fatal Error STOP + (1) (CTRL/B) (2) CTRL/C CALL EXIT (3) + | | JSR #EXIT | + ------------- --------------- --------------- + | BRANCH TO | | | | SIMULATE | + | ERROR | | EXECUTE IOF | | END FILE ON | + | ROUTINE | | | | ANY OPEN | + ------------- --------------- | FILES | + | | --------------- + | | |<------- + ------------- --------------- / \ | + | | | LET I/O DE- | / TTY, \ | + | PRINT | | VICE HANDLER| /LPT BUFFERS\_| + | TRACEBACK | | PROCESS ^C | \ CLEAR / NO + ------------- --------------- \ ? / + | | \ / + | | | YES + | | | + | ------------- | --------------- + | | | | | SET NORMAL | + ----->| JMP 07605 |<------ | TERMINATION | + | | | FLAG | + ------------- --------------- + | | + | Location 07605 traps back to FRTS | + -------------------------------------| + (A) + + + + At point A, FRTS executes the following operations. + + 1. Read termination routine into memory. + + 2. Read OS/8 field 0 resident from block 37 of SYS. + + 3. Jump into termination routine at location 17400. + + 4. restore normal content of locations 07600 and 07605 (in OS/8 + resident). + + 5. If configuration is an in-core TD8E DECtape system, restore + second part of TD8E handler from n7600 to 27600. + + 6. Wait for TTY to finish all pending I/O. If BATCH is running, + print LF on TTY and LPT. + + 7. If normal termination flag is set, close any output files + that were opened by the FRTS loader. + + 8. Return to OS/8 monitor via location 07605. + + + + + 4-21 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 78 + + 6600 FPPKG= . /FOR EAE OVERLAY + + /23-BIT FLOATING PT INTERPRETER + /W.J. CLOGHER, MODIFIED BY R.LARY FOR FORTRAN + + 06600 0000 LPBUF2, ZBLOCK 16 + 06616 7160 LPBUF3 + + 06617 0000 AL1BMP, 0 /*K* UTILITY SUBROUTINE + 06620 7240 STA + 06621 1044 TAD ACX + 06622 3044 DCA ACX + 06623 4542 JMS I [AL1 + 06624 5617 JMP I AL1BMP + + /FLOATING MULTIPLY-DOES 2 24X12 BIT MULTIPLIES + 06625 4777 DDMPY, JMS I (DARGET + 06626 7410 SKP + 06627 4776 FFMPY, JMS I (ARGET /GET OPERAND + 06630 4304 JMS MDSET /SET UP FOR MPY-OPX IN AC ON RETN. + 06631 1044 TAD ACX /DO EXPONENT ADDITION + 06632 3044 DCA ACX /STORE FINAL EXPONENT + 06633 3304 DCA MDSET /ZERO TEM STORAGE FOR MPY ROUTINE + 06634 3054 DCA AC2 + 06635 1045 TAD ACH /IS FAC=0? + 06636 7650 SNA CLA + 06637 3044 DCA ACX /YES-ZERO EXPONENT + 06640 4334 JMS MP24 /NO-MULTIPLY FAC BY LOW ORDER OPR. + 06641 1056 TAD OPH /NOW MULTIPLY FAC BY HI ORDER MULT + 06642 3057 DCA OPL + 06643 4334 JMS MP24 + 06644 1054 TAD AC2 /STORE RESULT BACK IN FAC + 06645 3046 DCA ACL /LOW ORDER + 06646 1304 TAD MDSET /HIGH ORDER + 06647 3045 DCA ACH + 06650 1045 TAD ACH /DO WE NEED TO NORMALIZE? + 06651 7004 RAL + 06652 7710 SPA CLA + 06653 4217 JMS AL1BMP /YES-DO IT FAST + 06654 1053 TAD AC1 + 06655 7710 SPA CLA /CHECK OVERFLOW WORD + 06656 2046 ISZ ACL /HIGH BIT ON - ROUND RESULT + 06657 5265 JMP MDONE + 06660 2045 ISZ ACH /LOW ORDER OVERFLOWED - INCREMENT + 06661 1045 TAD ACH + 06662 7510 SPA /CHECK FOR OVERFLOW TO 4000 0000 + 06663 5775 JMP I (SHR1 /WE HANDLE A SIMILIAR CASE IN + 06664 7200 CLA + + + + + + 4-22 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 79 + + 06665 3053 MDONE, DCA AC1 /ZERO OVERFLOW WD(DO I NEED THIS??? + 06666 2333 ISZ MSIGN /SHOULD RESULT BE NEGATIVE? + 06667 7410 SKP /NO + 06670 4543 JMS I [FFNEG /YES-NEGATE IT + 06671 1045 TAD ACH + 06672 7650 SNA CLA /A ZERO AC MEANS A ZERO EXPONENT + 06673 3044 DCA ACX + 06674 1021 TAD DFLG + 06675 7740 SMA SZA CLA /D.P. INTEGER MODE? + 06676 1044 TAD ACX /WITH ACX LESS THAN 0? + 06677 7450 SNA + 06700 5476 JMP I FPNXT /NO - RETURN + 06701 7040 CMA + 06702 4541 JMS I [ACSR /UN-NORMALIZE RESULT + 06703 5476 JMP I FPNXT /RETURN + + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 80 + + /MDSET-SETS UP SIGNS FOR MULTIPLY AND DIVIDE + /ALSO SHIFTS OPERAND ONE BIT TO THE LEFT. + /EXIT WITH EXPONENT OF OPERAND IN AC FOR EXPONENT + /CALCULATION-CALLED WITH ADDRESS OF OPERAND IN AC AND + /DATA FIELD SET PROPERLY FOR OPERAND. + + 06704 0000 MDSET, 0 + 06705 7344 CLA CLL CMA RAL /SET SIGN CHECK TO -2 + 06706 3333 DCA MSIGN + 06707 1056 TAD OPH /IS OPERAND NEGATIVE? + 06710 7700 SMA CLA + 06711 5314 JMP .+3 /NO + 06712 4774 JMS I (OPNEG /YES-NEGATE IT + 06713 2333 ISZ MSIGN /BUMP SIGN CHECK + 06714 1057 TAD OPL /AND SHIFT OPERAND LEFT ONE BIT + 06715 7104 CLL RAL + 06716 3057 DCA OPL + 06717 1056 TAD OPH + 06720 7004 RAL + 06721 3056 DCA OPH + 06722 3053 DCA AC1 /CLR. OVERFLOW WORD OF FAC + 06723 1045 TAD ACH /IS FAC NEGATIVE + 06724 7700 SMA CLA + 06725 5331 JMP LEV /NO-GO ON + 06726 4543 JMS I [FFNEG /YES-NEGATE IT + 06727 2333 ISZ MSIGN /BUMP SIGN CHECK + 06730 7000 NOP /MAY SKIP + 06731 1055 LEV, TAD OPX /EXIT WITH OPERAND EXPONENT IN AC + 06732 5704 JMP I MDSET + 06733 0000 MSIGN, 0 + + + 4-23 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 81 + + /24 BIT BY 12 BIT MULTIPLY. MULTIPLIER IS IN OPL + /MULTIPLICAND IS IN ACH AND ACL + /RESULT LEFT IN MDSET,AC2, AND AC1 + + 06734 0000 MP24, 0 + 06735 1373 TAD (-14 /SET UP 12 BIT COUNTER + 06736 3055 DCA OPX + 06737 1057 TAD OPL /IS MULTIPLIER=0? + 06740 7440 SZA + 06741 5345 JMP MPLP1 /NO-GO ON + 06742 3053 DCA AC1 /YES-INSURE RESULT=0 + 06743 5734 JMP I MP24 /RETURN + 06744 1057 MPLP, TAD OPL /SHIFT A BIT OUT OF LOW ORDER + 06745 7010 MPLP1, RAR /OF MULTIPLIER AND INTO LINK + 06746 3057 DCA OPL + 06747 7420 SNL /WAS IT A 1? + 06750 5356 JMP MPLP2 /NO - 0 - JUST SHIFT PARTIAL PROD + 06751 1054 TAD AC2 /YES-ADD MULTIPLICAND TO PARTIAL + 06752 1046 TAD ACL /LOW ORDER + 06753 3054 DCA AC2 + 06754 7024 CML RAL /*K* NOTE THE "SNL" 5 WORDS BACK! + 06755 1045 TAD ACH /HI ORDER + 06756 1304 MPLP2, TAD MDSET + 06757 7010 RAR /NOW SHIFT PARTIAL PROD. RIGHT 1 + 06760 3304 DCA MDSET + 06761 1054 TAD AC2 + 06762 7010 RAR + 06763 3054 DCA AC2 + 06764 1053 TAD AC1 + 06765 7010 RAR /OVERFLOW TO AC1 + 06766 3053 DCA AC1 + 06767 2055 ISZ OPX /DONE ALL 12 MULTIPLIER BITS? + 06770 5344 JMP MPLP /NO-GO ON + 06771 5734 JMP I MP24 /YES-RETURN + 06773 7764 + 06774 7203 + 06775 7110 + 06776 6514 + 06777 6460 + 7000 PAGE + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 82 + + /DIVIDE-BY-ZERO ROUTINE - MUST BE AT BEGINNING Of PAGE + + 07000 2035 DBAD, ISZ FATAL /DIVIDE BY 0 NON-FATAL + 07001 4434 JMS I ERR /GIVE ERROR MSG + 07002 1200 TAD DBAD + 07003 3044 DCA ACX /RETURN A VERY LARGE POSITIVE NUM + 07004 7332 AC2000 + 07005 5325 JMP FD + + 4-24 + + + + /FLOATING DIVIDE - USES DIVIDE-AND-CORRECT METHOD + + 07006 4777 DDDIV, JMS I (DARGET + 07007 7410 SKP + 07010 4776 FFDIV, JMS I (ARGET /GET OPERAND + 07011 4775 JMS I (MDSET /GO SET UP FOR DIVIDE-OPX IN AC + 07012 7041 CMA IAC /NEGATE EXP. OF OPERAND + 07013 1044 TAD ACX /ADD EXP OF FAC + 07014 3044 DCA ACX /STORE AS FINAL EXPONENT + 07015 1056 TAD OPH /NEGATE HI ORDER OP. FOR USE + 07016 7141 CLL CMA IAC /AS DIVISOR + 07017 3056 DCA OPH + 07020 4231 JMS DV24 /CALL DIV.--(ACH+ACL)/OPH + 07021 1046 TAD ACL /SAVE QUOT. FOR LATER + 07022 3053 DCA AC1 + 07023 1057 TAD OPL + 07024 7650 SNA CLA + 07025 5327 JMP DVL2 /AVOID MULTIPLYING BY 0 + 07026 1374 TAD (-15 /SET COUNTER FOR 12 BIT MULTIPLY + 07027 3231 DCA DV24 /TO MULTIPLY QUOT. OF DIV. BY + 07030 5267 JMP DVLP1 /LOW ORDER OF OPERAND (OPL) + + /DIVIDE ROUTINE - (ACH,ACL)/OPH = ACL REMAINDER REM + + 07031 0000 DV24, 0 + 07032 1045 TAD ACH /CHECK THAI DIVISOR IS .GT. + 07033 1056 TAD OPH /DIVISOR IN OPH (NEGATIVE) + 07034 7630 SZL CLA /IS IT? + 07035 5200 JMP DBAD /NO-DIVIDE OVERFLOW + 07036 1374 TAD (-15 /YES-SET UP 12 BIT LOOP + 07037 3054 DCA AC2 + 07040 5251 JMP DV1 /GO BEGIN DIVIDE + 07041 1045 DV2, TAD ACH /CONTINUE SHIFT OF FAC LEFT + 07042 7004 RAL + 07043 3045 DCA ACH /RESTORE HI ORDER + 07044 1045 TAD ACH /NOW SUBTRACT DIVISOR FROM HI ORDER + 07045 1056 TAD OPH /DIVIDEND + 07046 7430 SZL /GOOD SUBTRACT? + 07047 3045 DCA ACH /YES-RESTORE HI DIVIDEND + 07050 7200 CLA /NO-DON'T RESTORE--OPH.GT.ACH + 07051 1046 DV1, TAD ACL /SHIFT FAC LEFT 1 BIT-ALSO SHIFT + 07052 7004 RAL /1 BIT OF QUOT. INTO LOW ORD OF ACL + 07053 3046 DCA ACL + 07054 2054 ISZ AC2 /DONE 12 BITS OF QUOT? + 07055 5241 JMP DV2 /NO-GO ON + 07056 5631 JMP I DV24 /YES-RETN W/AC2=0 + + + + + + + + + + 4-25 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 83 + + /DIVIDE ROUTINE CONTINUED + + 07057 3057 MP12L, DCA OPL /STORE BACK MULTIPLIET + 07060 1054 TAD AC2 /GET PRODUCT SO FAR + 07061 7420 SNL /WAS MULTIPLIER BIT A 1? + 07062 5265 JMP .+3 /NO-JUST SHIFT THE PARTIAL PRODUCT + 07063 7100 CLL /YES-CLEAR LINK AND ADD MULTIPLICAND + 07064 1046 TAD ACL /TO PARTIAL PRODUCT + 07065 7010 RAR /SHIFT PARTIAL PRODUCT-THIS IS HI + 07066 3054 DCA AC2 /RESULT-STORE BACK + 07067 1057 DVLP1, TAD OPL /SHIFT A BIT OUT OF MULTIPLIER + 07070 7010 RAR /AND A BIT OR RESLT. INTO IT (LO + 07071 2231 ISZ DV24 /DONE ALL BITS? + 07072 5257 JMP MP12L /NO-LOOP BACK + 07073 7141 CLL CIA /YES-LOW ORDER PROD. OF QUOT. X + 07074 3046 DCA ACL /NEGATE AND STORE + 07075 7024 CML RAL /PROPAGATE CARRY + 07076 1054 TAD AC2 /NEGATE HI ORDER PRODUCT + 07077 7161 STL CIA + 07100 1045 TAD ACH /COMPARE WITH REMAINDER OF FIRST + 07101 7430 SZL /WELL? + 07102 5331 JMP DVOPS /GREATER THAN REM. - ADJUST QUOT OF + 07103 3045 DCA ACH /OK - DO (REM - (Q*OPL)) / OPH + 07104 4231 DVL3, JMS DV24 /DIVIDE BY OPH (HI ORDER OPERAND) + 07105 1053 DVL1, TAD AC1 /GET QUOT. OF FIRST DIV. + 07106 7500 SMA /IF HI ORDER BIT SET-MUST SHIFT 1 + 07107 5325 JMP FD /NO-ITS NORMALIZED-DONE + 07110 7100 SHR1, CLL + 07111 2046 ISZ ACL /ROUND AND SHIFT RIGHT ONE + 07112 7410 SKP + 07113 7001 IAC /DOUBLE PRECISION INCREMENT + 07114 7010 RAR + 07115 3045 DCA ACH /STORE IN FAC + 07116 1046 TAD ACL /SHIFT LOW ORDER RIGHT + 07117 7010 RAR + 07120 3046 DCA ACL /STORE BACK + 07121 2044 ISZ ACX /BUMP EXPONENT + 07122 7000 NOP + 07123 1045 TAD ACH + 07124 5306 JMP DVL1+1 /IF FRACT WAS 77777777 WE MUST + 07125 3045 FD, DCA ACH /STORE HIGH ORDER RESULT + 07126 5773 JMP I (MDONE /GO LEAVE DIVIDE + + 07127 3046 DVL2, DCA ACL /COME HERE IF LOW-ORDER QUO=0 + 07130 5304 JMP DVL3 /SAVE SOME TIME + + + + + + + + + 4-26 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 84 + + /ROUTINE TO ADJUST QUOTIENT OF FIRST DIVIDE (MAYBE) WHEN + /REMAINDER OF THE FIRST DIVIDE IS LESS THAN QUOT*OPL + + 07131 7041 DVOPS, CMA IAC /NEGATE AND STORE REVISED REMAINDER + 07132 3045 DCA ACH + 07133 7100 CLL + 07134 1056 TAD OPH + 07135 1045 TAD ACH /WATCH FOR OVERFLOW + 07136 7420 SNL + 07137 5344 JMP DVOP1 /OVERFLOW-DON'T ADJUST QUOT. OF 1 + 07140 3045 DCA ACH /NO OVERFLOW-STORE NEW REM. + 07141 7040 CMA /SUBTRACT 1 FROM QUOT OF + 07142 1053 TAD AC1 /FIRST DIVIDE + 07143 3053 DCA AC1 + 07144 7300 DVOP1, CLA CLL + 07145 1045 TAD ACH /GET HI ORD OF REMAINDER + 07146 7450 SNA /IS IT ZERO? + 07147 3046 DVOP2, DCA ACL /YES-MAKE WHOLE THING ZERO + 07150 3045 DCA ACH + 07151 4231 JMS DV24 /DIVIDE EXTENDED REM. BY HI DIVISOR + 07152 1046 TAD ACL /NEGATE THE RESULT + 07153 7141 CLL CMA IAC + 07154 3046 DCA ACL + 07155 7420 SNL /IF QUOT. IS NON-ZERO, SUBTRACT + 07156 7040 CMA /ONE FROM HIGH ORDER QUOT. + 07157 5305 JMP DVL1 /GO TO IT + + 07160 0000 LPBUF3, ZBLOCK 12 + 07172 7316 LPBUF4 + 07173 6665 + 07174 7763 + 07175 6704 + 07176 6514 + 07177 6460 + 7200 PAGE + + + + + + + + + + + + + + + + + + + 4-27 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 85 + + /"NRMFAC" AND "OPNEG" MUST BE AT 0 AND 3 ON PAGE + + 07200 3053 NRMFAC, DCA AC1 /KILL OVERFLOW BIT + 07201 4271 JMS FFNOR + 07202 5476 JMP I FPNXT + + 07203 0000 OPNEG, 0 /ROUTINE TO NEGATE OPERAND + 07204 1057 TAD OPL /GET LOW ORDER + 07205 7141 CLL CMA IAC /NEGATE AND STORE BACK + 07206 3057 DCA OPL + 07207 7024 CML RAL /PROPAGATE CARRY + 07210 1056 TAD OPH /GET HI ORDER + 07211 7141 CLL CMA IAC /NEGATE AND STORE BACK + 07212 3056 DCA OPH + 07213 5603 JMP I OPNEG + / + /FLOATING SUBTRACT AND ADD + / + 07214 4777 FFSUB, JMS I (ARGET /PICK UP THE OP. + 07215 4203 JMS OPNEG /NEGATE OPERAND + 07216 7410 SKP + 07217 4777 FFADD, JMS I (ARGET /PICK UP OPERAND + 07220 1056 TAD OPH /IS OPERAND = 0 + 07221 7650 SNA CLA + 07222 5476 JMP I FPNXT /YES-DONE + 07223 1045 TAD ACH /NO-IS FAC=0? + 07224 7650 SNA CLA + 07225 5236 JMP DOADD /YES-DO ADD + 07226 1044 TAD ACX /NO-DO EXPONENT CALCULATION + 07227 7141 CLL CMA IAC + 07230 1055 TAD OPX + 07231 7540 SMA SZA /WHICH EXP. GREATER? + 07232 5243 JMP FACR /OPERANDS-SHIFT FAC + 07233 7041 CMA IAC /FAC'S-SHIFT OPERAND=DIFFRNCE+1 + 07234 4246 JMS OPSR + 07235 4541 JMS I [ACSR /SHIFT FAC ONE PLACE RIGHT + 07236 1055 DOADD, TAD OPX /SET EXPONENT OF RESULT + 07237 3044 DCA ACX + 07240 4537 JMS I [OADD /DO THE ADDITION + 07241 4271 JMS FFNOR /NORMALIZE RESULT + 07242 5476 JMP I FPNXT /RETURN + 07243 4541 FACR, JMS I [ACSR /SHIFT FAC = DIFF.+1 + 07244 4246 JMS OPSR /SHIFT OPR. 1 PLACE + 07245 5236 JMP DOADD /DO ADDITION + + + + + + + + + + 4-28 + + + + /FORTRAN 4 RUNTIME SYSTEM - R.L PAL8-V8 PAGE 86 + + /OPERAND SHIFT RIGHT-ENTER WITH POSITIVE COUNT-1 IN AC + + 07246 0000 OPSR, 0 + 07247 7040 CMA /- (COUNT+1) TO SHIFT COUNTER + 07250 3052 DCA AC0 + 07251 1056 LOP2, TAD OPH /GET SIGN BIT + 07252 7100 CLL /TO LINK + 07253 7510 SPA + 07254 7020 CML /WITH HI MANTISSA IN AC + 07255 7010 RAR /SHIFT IT RIGHT, PROPAGATING SIGN + 07256 3056 DCA OPH /STORE BACK + 07257 1057 TAD OPL + 07260 7010 RAR + 07261 3057 DCA OPL /STORE LO ORDER BACK + 07262 2055 ISZ OPX /INCREMENT EXPONENT + 07263 7000 NOP + 07264 2052 ISZ AC0 /DONE ALL SHIFTS? + 07265 5251 JMP LOP2 /NO-LOOP + 07266 7010 RAR /SAVE 1 BIT OF OVERFLOW + 07267 3054 DCA AC2 /IN AC2 + 07270 5646 JMP I OPSR /YES-RETN. + + 07271 0000 FFNOR, 0 /ROUTINE TO NORMALIZE THE FAC + 07272 1045 TAD ACH /GET THE HI ORDER MANTISSA + 07273 7450 SNA /ZERO? + 07274 1046 TAD ACL /YES-HOW ABOUT LOW? + 07275 7450 SNA + 07276 1053 TAD AC1 /LOW=0, IS OVRFLO BIT ON? + 07277 7650 SNA CLA + 07300 5313 JMP ZEXP /#=0-ZERO EXPONENT + 07301 7332 NORMLP, CLA CLL CML RTR /NOT 0-MAKE A 2000 IN AC + 07302 1045 TAD ACH /ADD HI ORDER MANTISSA + 07303 7440 SZA /HI ORDER = 6000 + 07304 5307 JMP .+3 /NO-CHECK LEFT MOST DIGIT + 07305 1046 TAD ACL /YES-6000 OK IF LOW=O + 07306 7640 SZA CLA + 07307 7710 SPA CLA /2,3,4,5,ARE LEGAL LEFT MOST DIGS. + 07310 5314 JMP FFNORR /FOR NORMALIZED #-(+2000=4,5,6,7) + 07311 4534 JMS I (AL1BMP /SHIFT AC LEFT AND BUMP ACX DOWN + 07312 5301 JMP NORMLP /GO BACK AND SEE IF NORMALIZED + 07313 3044 ZEXP, DCA ACX + 07314 3053 FFNORR, DCA AC1 /DONE W/NORMALIZE - CLEAR AC1 + 07315 5671 JMP I FFNOR /RETURN + + 07316 0000 LPBUF4, ZBLOCK 60 + 07376 7400 LPBUFE + 07377 6514 + 7400 PAGE + + + + + + 4-29 + + + + CHAPTER 5 + + LIBRA AND FORLIB + + + The binary output of an assembly under RALF is called a RALF module. + Every RALF module consists of an External Symbol Dictionary (or ESD) + and associated text. The ESD lists all global symbols defined in the + assembly, while the text contains the actual binary output along with + relocation data. + + There are three major classes of global symbols. Entry points are + global symbols defined in a module and referenced by code in other + modules. Thus, entry points include the names of all modules and the + names of all globally callable subroutines within modules. Externs are + global symbols that are referenced in a module but not defined in that + module. For example, the entry point of module A would appear as an + extern if referenced in module B. The COMMON area comprises a third + class of global symbols including all global symbols which define + COMMON. + + A FORTRAN IV library is a specially formatted file, created with + LIBRA, consisting of a library catalog (which lists section names and + entry points of library modules) and a set of RALF modules, perhaps + interspersed with empty subfiles. The loader uses one such library, + specified by the user, to resolve externs while building a loader + image file. The general structure of a FORTRAN IV library is: + + ---------------------------------------------------------------- + | CATALOG | MODULE | FREE | MODULE | MODULE | \ + | | | AREA | | | etc. / + | | | | | | \ + ----------------------------------------------------------------- + + LIBRA is a very simple program, basically a file-to-file copy inside + several nested loops. The outer loop begins at START, and calls the + command decoder for specification of the library and input files. If + no library is specified, the previous library name is used (initially + this is SYS:FORLIB.RL). If a new name is given, but no extension is + specified, .RL is forced. A check is made to verify that the + specified library is on a file-structured device, and the handler is + FETCHed. + + At ZTEST, the /Z switch is tested. If it was set, control passes to + NEWLIB to create a new library. Otherwise, an attempt is made to find + an old library of the specified name on the device. If it fails, + control passes to NEWLIB. Otherwise, the catalog of the old library + is read and scanned to determine the starting block of available + space. This is stored at LAVAIL. Control then passes to GETINF to + begin reading input files. + + If /Z was set, or the specified library isn't found, a new library is + entered at NEWLIB, and an empty catalog is written. Control passes to + GETINF. There, a check is made to determine whether input is + + 5-1 + + + + presently coming from another library. If it is, control passes to + INLIB to obtain the next module from the library. Otherwise, the next + input file is obtained from the command decoder area in field 1, and + if one exists, control passes to FTCHIN to load the handler. If there + is none, the /C switch is tested. If it is not set, control is passed + to LCLOSE to close the library. If it is set, however, the command + decoder is recalled to obtain a continuation of the preceding input + line, and control returns to NXTINF to look in the command decoder + area. + + At FTCHIN, the unit, starting block, and length of the next input file + are obtained from the command decoder area, the appropriate device + handler is fetched, and at LUKMOD, the input file is read to ensure + that it is either a module or a library. If a library, control passes + to GOTLIB, which sets INLSW and goes to INLIB to obtain the first + module from the library. Otherwise, the length is checked against the + available length in the library, to ensure that this module can be fit + in, and control goes to NXTEBK to read the ESD. + + At INLIB, the catalog of the library being input is read, and scanned + until a module is found with a starting block greater than the + starting block of the last input module (in the case of the first + module in a library, MODBLK, which normally contains the starting + block of a module, contains the starting block of the library, so this + scan yields the starting block of the first module in the library). + When the next module has been found, control returns to LUKMOD to + check the length of the module against the available length in the + library. + + At NXTEBK, the end of the input module is scanned for entry point and + section names. Whenever one is found, the catalog of the output + library is scanned for a matching name. If a match is found, control + passes to GOTMAT, which prints the duplicated name, and if the /I + switch is set, asks the operator which name to keep. If he types N, + for new, control passes to DLETO to delete the old name. Otherwise, + control is passed to ESDLND to find the next entry point or section + name in the input. If /I is not set, /R is tested. If it is not set, + control is passed to ESDLND. If it is, control flows into DELTO, + where the old name is cleared, and the rest of the catalog is scanned + to find the first available name slot. Control then passes to INSERT. + + If no match was found, the /I switch is tested. If it was set, the + operator is asked whether to include the name. If he types, N, for + no, control is passed to ESDLND. Otherwise, or if /I was not set, a + pointer is set up for the new name, and control passes to INSERT, + where the new name is added to the catalog. + + When the entire ESD has been scanned, INCLUD is tested to determine + whether any name has been included in the catalog, and assuming at + least one has, the module is copied into the library, and LAVAIL is + updated to indicate the next available block in the library. Control + returns to GETINF for another module. + + + + 5-2 + + + + LCLOSE receives control whenever the end of the input file string is + reached and /C is not set. Here, any remaining changes in the library + catalog are written, and if a new library was entered, it is closed. + Control passes to CATLST, to create a catalog listing. The second + output file, if any was specified, is opened, a title is output to it, + and at PRCAT, the entire contents of the catalog are listed. When + this process is complete, the output file is closed, and control + returns to start for more command decoder input. + + User-coded modules may be added to the system library or incorporated + in a new library provided that entry points, variable storage + allocations, calling sequences, error conditions and the like are + handled with care. + + Every library module must have a unique section (and entry) name(s). + The library supplied by DEC uses the character # before names where + duplication in the FORTRAN program may be possible. Note that this + character is acceptable to RALF, but is illegal in a FORTRAN source. + If more than one entry is required to the routine, they should be + listed as such using the pseudo-op ENTRY before they are encountered + as tags in the code. Thus, if a double precision tangent routine is + being written, it may be helpful to have an entry for a double + precision co-tangent calculation also. Appropriate code would be: + + SECT DTAN + JA #DTAN + ENTRY DCOT + JA #DCOT + . + . + . + #DCOT, + . + . + . + #DTAN, + + When routines will handle double precision or complex values, allocate + six words for their storage. Such routines can switch between the + STARTF (3 word format) and STARTE (6 word format) pseudo-ops as + required, being careful to define variables of the proper length to + keep track of temporary locations. + + All user-written library routines are called by a JSR in STARTF mode. + Depending on the type of function, the routine must be coded to exit + as follows in order to return the result to the program: + + Single precision Answer in AC in STARTF mode + (integer, real and logical) + + FLDA ANSWER /In STARTF mode + JA RETURN /3 word result + + + + 5-3 + + + + Double precision: Answer in AC in STARTE mode + + FLDA ANSWER /In STARTE mode + JA RETURN /6 word result + + Complex: Answer in location #CAC in + STARTE mode + + EXTERN #CAC /Real part in first 3 words + STARTE /Imaginary in last 3 words + FLDA ANSWER /Exit in STARTE mode + FSTA #CAC /6 word result + JA RETURN + + Routines should conform to the FPP FORTRAN calling sequence. An + example of that sequence follows: + + SECT DTAN /Sector name + JA #DTAN /Jump to Start of Function + TEXT +DTAN + /6 characters for trace + /back feature must be + /immediately before index + /register assignment. + DTANXR, SETX XRDTAN /This tag referenced when + /returning to reset base + /page and index registers + SETB BPDTAN /if this routine called. + + BPDTAN, F 0.0 /3 words each + XRDTAN, F 0.0 /These locations may be + /used for temporary storage or + ORG 10*3+BPDTAN /If this routine is called, + /will set up return to it. + FNOP + JA DTANXR + 0 + DTNRTN, JA . /Return to calling program + BASE 0 /Still on caller's base page + #DTAN, STARTD /Start of subroutine + FLDA 10*3 /Get jump to caller's return jump + FSTA DTNRTN /Save for return from this routine + FLDA 0 /Get next location in caller's + /routine (pointer to argument list) + SETX XRDTAN /Change index registers to this + /routine's + SETB BPDTAN /Change base page to this routine's + BASE BPDTAN /Change base page to this routine's + FSTA TEMP /Save pointer + LDX 1,1 /Set up XRL + FLDA% TEMP,1 /Get address of argument list + FSTA TEMP /Save it + STARTE /A double precision routine + FLDA% TEMP /Get variable + FSTA TEMP /Save variable + + 5-4 + + + + . + . + . /Calculate result + . + . + . + FLDA ANSWER /Load answer + JA DTNRTN /Exit + + The following conventions must be observed to return to the calling + program at the correct location, to permit the error trace back + feature to function properly, and to preserve index registers and base + page integrity. + + Locations 0 and 30 of the called (user-coded) program are determined + by a statement in the form ORG 10*3+BPAGE which must be followed by a + two-word jump to the index register and base page assignment + instructions JA BPXR. In the above example, the code is: + + ORG 10*3+BPDATN + FNOP + JA DTANXR + + By saving the contents of location 30 of the calling program (FLDA + 10*3,FSTA RETURN) for the return exit, the called program executes + (when control is returned to it) a JA BPXR to its base page and index + register assignment statement. In the calling program this resets the + index registers and base page and then returns to execute the + instruction in the calling program. In the tangent example above, the + code is: + + FLDA 10*3 + FSTA DTNRTN + + which creates the instruction + + JA xxx + + at the tag DTNRTN, where xxx is the location in the calling routine + whose function corresponds to DTANXR in DTAN. + + When called, the routine must assign its own base page and index + registers (SETX XROWN, SETB BPOWN). If arguments are to be passed to + the called routine, a scheme such as illustrated above permits any + number of arguments to be passed from the calling program and saved on + the base page of the called program, in this case just two arguments. + + The corresponding code for the calling program (as created by the + compiler) is: + + + + + + + 5-5 + + + + EXTERN DTAN + JSR DTAN + JA .+4 /Jump past all arguments + JA A /Argument + . + . + . + FSTA Q /Save result in some variable + + The FORTRAN for such code is: + + Q = DTAN (A) + + The calling sequence is also discussed in Chapter 2. + + To permit the error trace back feature to function properly, a TEXT + statement followed by a six alphanumeric character name is required + immediately before the index register and base page assignment + statements. Thus, if the cotangent routine includes a JSR TAN and an + unacceptable argument is passed to the tangent function, the trace + back indicates the location of the problem by a sequence such as: + + DIV0 MAIN + ARGUMENT + 7777 SIN + 0000 TAN + 0000 COT + 0007 MAIN + + (Line numbers are not relevant in RALF modules such as TAN and SIN: + they are meaningful only in FORTRAN source programs.) + + A new library routine may call other new or existing library routines + as part of its function, as well as the error handling function of the + run-time system. To invoke the error message program, code such as + the following is required: + + EXTERN #ARGER + MERROR, TRAP4 #ARGER + + Then any condition encountered in the program that is an error should + jump to MERROR. For example, if an argument of <=0 is illegal, it + could be examined and handled as follows: + + FLDA% ARG2 + JLE MERROR /<0 error + FSTA NEXT / Save non-zero value + + In this case, the TRAP4 #ARGER at MERROR will produce the message BAD + ARG DTAN nnnn followed by traceback and program termination. If a new + library routine would like to use an existing library routine, a JSR + to that routine is required. The sequence for passing arguments is: + + + + 5-6 + + + + EXTERN ATAN2 + JSR ATAN2 + JA .+6 /Execute upon exit from + JA A /1st arg + JA B /2nd arg + FSTA ANSWER /Save answer + + The arguments must be referenced in the order expected by the called + routine and must agree in number and type. The following routines can + can be used in this manner: + + ROUTINE ARGUMENTS PASSED _______ ________________ + + AMOD Address of X then Y + SQRT Address of X + ALOG10 Address of X + EXP Address of X + SIN Address of X + COS Address of X + TAN Address of X + SIND Address of X + COSD Address of X + TAND Address of X + ASIN Address of X + ACOS Address of X + ATAN Address of X + ATAN2 Address of X then Y + SINH Address of X + COSH Address of X + TANH Address of X + DMOD Address of X then Y + DSIGN Address of X then Y + DSIN Address of X + DLOG Address of X + DSQRT Address of X + DCOS Address of X + DLOG10 Address of X + DATAN2 Address of X then Y + DATAN Address of X + DEXP Address of X + CMPLX Address of X + CSIN Address of X + CCOS Address of X + REAL Address of X + AIMAG Address of X + CONJG Address of X + CEXP Address of X + CLOG Address of X + CABS Address of X + CSQRT Address of X + + For real and double precision routines, the result is returned via the + FAC (3 or 6 words, respectively). For complex routines, the result is + returned in #CAC (6 words). + + 5-7 + + + + The TAN function from FORLIB is included here as an example of the + requirements just discussed. The TAN function calls two external + functions, has the standard calling sequence, and contains an error + condition exit. + + / T A N + / - - - + / + /SUBROUTINE TAN(X) + SECT TAN /SECTION NAME + JA #TAN /JUMP AROUND BASE PAGE + + EXTERN #ARGER + TANER, TRAP4 #ARGER /EXIT TO ERROR MESSAGE HANDLER + TEXT +TAN + /FOR ERROR TRACE BACK + TANXR, SETX XRTAN /START OF FORMAL CALLING SEQUENCE + SETB BPTAN + BTAN, FNOP /START OF BASE PAGE + 0 + 0 + XRTAN, F 0.0 /INDEX REGISTERS + TAN1, F 0.0 /LOCATIONS 21-42 OCTAL AVAILABLE + /FOR USER STORAGE + TAN2, F 0.0 + ORG 10*3+BPTAN /SET UP FOR A RETURN + /TO THIS ROUTINE + FNOP + JA TANXR /JUMP TO XR + RP ASSIGNMENT + 0 + TANRTN, JA . + BASE 0 + #TAN, STARTD + FLDA 10*3 /SAVE RETURN JUMP + FSTA TANRTN + FLDA 0 /GET NEXT LOCATION + /IN CALLING PROGRAM + SETX XRTAN /SET UP FOR TAN'S INDEX REGS + SETB BPTAN /SET UP FOR TAN'S BP + BASE BPTAN + LDX 1,1 + FSTA BPTAN + FLDA% BPTAN,1 /GET ADDRESS OF X + FSTA BPTAN + STARTF + FLDA% BPTAN /GET X + JEQ TANRTN /IF 0 RETURN NOW + FSTA TAN1 /SAVE FOR A SECOND + EXTERN COS + JSR COS /TAKE COS(X) + JA .+4 /JUMP AROUND ARGUMENT LIST + JA TAN1 /REFERENCE TO PASSED ARGUMENT + JEQ TANER /COS=0. A NO-NO + FSTA TAN2 /SAVE IT + EXTERN SIN + + 5-8 + + + + JSR SIN /NOW TAKE SIN(X) + JA .+4 /JUMP AROUND ARGUMENT LIST + JA TAN1 /REFERENCE TO ARGUMENT + FDIV TAN2 /DIV BY COS(X) + JA TANRTN /EXIT + + The library routine ONQI illustrates many of the same conventions. + This listing may also prove valuable as a guide to interfacing with + the run-time system. + + FIELD1 ONQI /ROUTINE TO ADD A + /HANDLER TO INTERRUPT SKIP CHAIN + /PUT THIS CODE IN FIELD 1 + 0 + JMP SETINT /SET UP INT INITIALLY + ISZ ONQI /BUMP ARGUMENT POINTER + ISZ INTQ+1 /BUMP INTERRUPT Q POINTER + DCA% INTQ+1 /STICK IOT ONTO INT Q + TAD XSKP /FOLLOWED BY A SKIP + ISZ INTQ+1 + DCA% INTQ+1 /ONTO INT Q + ISZ ONQI /SKIP FIRST WORD OF ADDR + ISZ INTQ+1 + ONQISW, TAD% ONQI /GET INT HANDLER ADDRESS + ISZ ONQI + DCA% INTADR+1 /ONTO ADDRESS STACK + TAD INTADR+1 /NOW MAKE JMS% + AND L177 + TAD L4600 + DCA% INTQ+1 /ONTO INT Q + ISZ INTADR+1 + ISZ IQSIZE /ROOM FOR MORE? + JMP% ONQI /YES + TAD .-1 /NO, CLOSE OUT THE SUBR + DCA ONQI+1 + JMP% ONQI + SETINT, TAD ONQISW /DO THIS PART ONLY ONCE + DCA ONQI+1 + CDF + TAD XSKP /FIX UP #INT + DCA% XINT+1 /PUT SKIP INST. FIRST + ISZ XINT+1 + TAD INTQ+1 + DCA% XINT+1 /GET ADDR. OF USER'S ROUTINE + ISZ XINT+1 /ADD TO INTERRUPT CALL + TAD CIFCDF /GET FIELD INSTRUCTION + /FIELD1 SECTION INSURES ITS IN FIELD 1 + DCA% XINT+1 + CIFCDF, CDF CIF 10 + JMP ONQI+1 /BACK TO ONQI + EXTERN #INT + XINT, ADDR #INT /POINTS TO INT RTN IN COMMON + INTQ, ADDR IHANDL /MUST USE 15 BIT ADDRESS + + + 5-9 + + + + INTADR, ADDR IHADRS / " + + IQSIZE, -5 + XSKP, SKP + L177, 177 + L4600, 4600 + CDF CIF + JMP% IHANDL + IHANDL, 0 + REPEAT 16 + JMP IHANDL-2 + IHADRS, 0;0;0;0;0 /CAN SET UP 1-5 DEVICES + + + ENTRY ONQB /USE "ENTRY" TO PERMIT + /ACCESS FROM OUTSIDE OF SECTION + /ROUTINE TO SET UP AN IDLE JOB + ONQB, 0 + JMP SETBAK /SETUP #IDLE + TAD% ONQB /GET ADDRESS OF IDLE JOB + ONQBSW, ISZ ONQB + DCA% BAKADR+1 /STORE ONTO BACKGROUND JOB Q + TAD BAKADR+1 /MAKE A JMS% + ISZ BAKADR+1 + AND L177 + TAD L4600 + ISZ BAKQ+1 + DCA% BAKQ+1 + ISZ BQSIZE /MORE ROOM? + JMP% ONQB /YES + TAD .-1 /NO, CLOSE THE DOOR + DCA ONQB+1 + JMP% ONQB + SETBAK, TAD ONQBSW /CLOSE OFF #IDLE INITIALIZATION + DCA ONQB+1 + CDF + TAD XSKP /FIX UP #IDLE + DCA% XIDLE+1 /ADD SKIP TO IDLE CALL + TAD BAKQ+1 /GET ADDRESS OF ROUTINE + ISZ XIDLE+1 + DCA% XIDLE+1 + ISZ XIDLE+1 + TAD CIFCDF /GET FIELD INSTR. + DCA% XIDLE+1 + CIF CDF 10 + JMP ONQB+1 + EXTERN #IDLE /EXTERNAL REFERENCE + XIDLE, ADDR #IDLE + + BAKQ, ADDR BAKRND + + BAKADR, ADDR BHADRS + + + + 5-10 + + + + BQSIZE, -5 + CDF CIF + JMP# BAKRND + BAKRND, 0 + REPEAT 6 + JMP BAKRND-2 + BHADRS, 0;0;0;0;0 /1-5 JOBS + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 5-11 + + + + APPENDIX A + + RALF Assembler Permanent Symbol Table + + + + Mnemonic Code Mnemonic Code ________ ____ ________ ____ + + FPP Memory Reference Instructions FPP Special Format Instructions + + FADD 1000 ADDX 0110 + FADDM 5000 ALN 0010 + FDIV 3000 ATX 0020 + FLDA 0000 FCLA 0002 + FMUL 4000 FEXIT 0 + FMULM 7000 FNEG 0003 + FSTA 6000 FNOP 0040 + FSUB 2000 FNORM 0004 + FPAUSE 0001 + IOT'S JA 1030 + JAC 0007 + FPINT 6551 JAL 1070 + FPICL 6552 JEQ 1000 + FPCOM 6553 JGE 1010 + FPHLT 6554 JGT 1060 + FPST 6555 JLE 1020 + FPRST 6556 JLT 1050 + FPIST 6557 JNE 1040 + JSA 1120 + 8-Mode Memory Reference Instructions JSR 1130 + JXN 2000 + AND 0000 SETB 1110 + TAD 1000 SETX 1100 + ISZ 2000 STARTD 0006 + DCA 3000 STARTE 0050 + JMS 4000 STARTF 0005 + JMP 5000 TRAP3 3000 + IOT 6000 TRAP4 4000 + OPR 7000 TRAP5 5000 + TRAP6 6000 + TRAP7 7000 + XTA 0030 + + + + + + + + + + + + + + A-1 + + + + Mnemonic ________ + + Pseudo-Operators + + ADDR + BASE + COMMON + COMMZ + DECIMAL + DPCHK + E + END + ENTRY + EXTERN + F + FIELD1 + IFNDEF + IFNEG + IFNZRO + IFPOS + IFREF + IFZERO + INDEX + LISTOFF + LISTON + OCTAL + ORG + REPEAT + SECT + SECT8 + TEXT + ZBLOCK + IFFLAP + IFRALF + IFSW + IFNSW + + + + + + + + + + + + + + + + + + + + A-2 + + + + + APPENDIX B + + ASSEMBLY INSTRUCTIONS for OS/8 + + + The following sequence of commands may be used to assemble the OS/8 + FORTRAN IV system programs. It is assumed that all PAL language + sources reside on DSK. In this example, DTA1 is shown as the target + device, however any other device could be used via the appropriate + ASSIGN command. Note that PASS2O.SV is produced by conditional + assembly of PASS2.PA and that the "O" in PASS2O is an oh, not a zero. + The initial dot and asterisk characters on every command line shown + are printed by the monitor. All other characters (except carriage + return, in some cases) are typed by the user. Type CTRL/Z after each + of the three system pauses at point (1), to continue assembly of + PASS2O. Type ALT MODE to produce the "$" character. + + .ASSIGN DTA1 DEV + .R PAL8 + *F4.BN,LIST.LSDQ=ROGXnzE(_LM?&GgU!1Y}j#HeK6PW_49J_W%R}5J>>U zzK*~DoO|DUS=|HBro(oGJ%~nkW#xPCzI)F-=Rf~hZj$`}|NVb`2L5E&WeWaA>-phx z?VoQa$wTd7?~}t$=e14$_wz$OZ6!&A&wp+BA0+Pz-+6x6XwTZ`a(({%;HTT)o)k;E z_QS((Pqtb=kn7){ANCus=34#x`Qcw}en&I0?|gpv+D5DW>ZU&bEdOq|&$azKuWj_p zfB$@2&uZ|UFBvAuKO4TMIUfFTcC)q2|Nl|*5Bu+Fj|bc7c<@u5o2|VxNnX_Hw|d>(a}~qy1m(e@pVWvo~eumR??bTj$03n}$C-{7^njJ~aH9;q%4w-K4Me zf4=qSI-hU-`OfFMpY498`}x+VyXUebdGFv;{ZFgRqlZT35F|A@qZ}0$R{7{KgB2KI)B`JNoSPn+q>ycCVrzU)=kfop%l2HT?bbBmHLb5#Hkc&G&WxARFZ`Y3J>q?tD|SJ#4i8 zS=LS7)qQd2-0(+}zux`krSHklH5%QY8oVcer2TX4H?=>|{>J>pd6IlZXFNF9{Q1uF z&7bG(KbI^I&t;!&ex`peo3%cfy{Pw^=9lz-Fn@LO<()gt&oqClbGP{)4SIu5CwKYR zr~1Fyc__a8Y)r;|I)k2mi&?{-d`A8Vd=PMMdx%~uaT+I-3IdivSs zN1AuI<_@2}izojHqu2lcz1;tOI{0w^H=7^s>!&{~ev5y7sQ3_WR9ZTPr4$|*RKUjQf_`l|@^LU?2 z{C2nbbDd}S`}6&eWN&Z1z5Vfi&z| z!H1fI&WD%SN|JfD5G^_l*Qlk?f9`#)5i&py@v3+#~paByz$>Hh1APx8&5$Uo6Pmn6M^H+jSG zvG!x}lb(O_Wcx`z`FQtZ?5V%dxz4{o+WbiWPx$kb`EO(^JmUh?<23zXcQ1Qr@W-tWGAr*%U(Bv}cl$l*pKCssKF^N)_5tH& zWY3k7^8Vhl`L_>Bh zN7G+y{#xgkn*Xx%%guk$`IYV;G=H@_YyR`@=aEP>M1S1;iq5(0$C5u7E+xOv{l(s2 z?G2MZ9{!`GjmDgIKGWhIhM&$p$Jjp2{#hP=ru8Y-|9bk#)+dr#ciMVz`0@U6lHME?3-!kl%J_YQwDe`l67zOnmzo%8uSn!kf~czdhII{wn|IdtOddp-2~ zmnO}j{{4nVl4QwG3}58G;ST!E8*@p{7yj>;diDRkwE1Xzxwp)C-_U!5kDAM~Zq7Ux z-G}mwJ16N^Wt&eD_TX^%y4K5)$-keyBKzUSry1E( z!(Q`8uzC9L`tb9-zfXb9E${q4OTRY@M*Nqve)H@M{QmHplE;V1@QLAvhHoA=@>%kk z;U|YrCX>b|8gFQPsL^UYJ^WPC$uBfM(CD&b-`0F%<4uhVyg{?|?&Pz>b}MNuCymwz zhi@5vs_|AtD4A8LGf_TZ!}kt<2Rm|()%qx_ zkR;#O{rb*JS%n{O{_D=aZa&s|w0Exk>cRhl=6-AX=H{cFzuY+2e0T5B?5#e zS^JvgE$L&;pUi)(|5)cSp8WpaYX-lT#c%xkOyXbU8xQb}TiLn6x%Nw&--gBdr`^Yz zuj~Ho;Gz6S8>758I32z&`=7Gc435*EOU50>(0XJ3ZlvO~&5t5cKgznMgI^lHKhsmS zK3~o~{inaintTaAeU@)OH2AvC*JIn?lKzwak1aho{Kwg=I{&2q;P7YDS2f#%*A8CQ z`H|+Z^TW-Tb%toNSF!q!r7vs$LON`|i|_tW^G)f?n*XTzgMD5716=nqcJTLSuNXW! z{D;kd*qn48Xnu9)f!5cdY3}lb9#-@Fv-aSBOx8NT)myh2VP6hjocs^j4>w=Yc}4RF z`Y#=C0N*m#j4(=)R)8m40>Wzt3LNcv;JJ=s!sQyXJ3Y zf35Kk(CB}k(Z8zs-!j@)HUFFD1N{H@HXr2q@5z3-Kg+(k_-y`HiqGZ$Uh$&dLq)IX z7vISY{BZFji0i8dZ|c17*EGK``Bp6IC%8km`QY%GRDX9nr-Kjm zzNhu?3|>3@Wcp2mukHL@=Jrk8eTe4#J<#2dpTE2LO6K9KJ73-WJN*X+L-givr(fNE zWqUXMKyS$G{yz75WoOc!WUp*C2Kwx)nqSrV+V&db`>#N47t#rL{JX4{?)Cxx{a-de z#!P=rpM4M3`rFxu_)P!3DfzkN=lS~=lBLGE#!H%C(foYc%W{7E4V}N$|1YxF_FrZr z{Cdz%I{43%2L>2}Px=#i%td#tr^7`O|(R zeRw!ZSCVo3(_1MX?yVLN7ptw~Vy$^l9JhD!{iRVcYaFHX-f8cG|2|z!ZWkNPS-vye z&&ErWY}{VSu60+kn_cdk%)|3W`D|&uH}B7i=bHL#lt0@T6;HIDD~{Ta^d9bC>5clM zVzs@UZX|p8WH2vwTC;SL?5ERYqc}|t@{PefA2Wt2^0ARj@}s3mIve=4kEC|rVxxB{ z8zl>$WmjAE&yr-sCnKJ=njiKKc%wV{ceH+`gd*hamVUd>0vygA7Z+oSx6#!PZ__MQlE8Z(fbhpjoYVB@U2an_rcdq$}?QYjJ1Nmrp)Z6M`O|PWa z^NY>HIATV%UmVyxKg$~V+@CgU^WHwn=L4RYPSVjJj%ucn_OA3t>1epah}W2jlYC+v^40!k zKF0oU6!Y!|>pf1d4sWDm=J@LHny${Sam7uIpvzVEMrW_CUwcj0_O9B9uh&PMuQg`9 z4W#;!^ocmD#jHI++NXL>zL`&Z6Q5cATx_=H{LD<+%;}9b^IbHbOY@&bW=7$eQFe5X z-D27sG3!@r&(QCaB|ua$(N2kdP& zvB9;o#+UX%>yDrJ-ZlrU4v$>OR_0TlTz_Uxd_0klnyq=Hy`x*(FXEUF) zF4yMMrGKNh(!17QWn>3vrg1UpPSQKcQNGjN)_wDBwAC~@KyEkCoIgAH?WJ)(@9~C}u40U{eB9G`$IQ%>85-v&!=vz)lYDnzJ11Xl zZL?donn-`^sF`r zn9IX_qqUPy@^QZ2n57$GPWRCjd$zY3c@rI;?{Mw7*zq?+!jF4v{it`!H!NmLYto{{ zdYcgrc*D+7eo&Ox<(uuD-fgbCX6vo%*7NP*p^vk_&kXRUjBS5-;yNqHD*Hb}X8Hak z-{;=5#%)&bYPO2K)9jD2hFslXUYGXLLwk$boDB}rlVr2l>D@E$;VJf(u2mYcQfJ?q z>zK8prM=>yvr&wf_Vek|tk`Kz^0l0|Z0;2EQjRn;w)VZNS_OOdEI)3t_KkJq=OEwg zXw>={I|X+%LwoMDXJIUR`EfqVHk*DAY@5B|WH9wvYHZ~b$!{jv9cS-IBroG^1%&?F z=_RD{DA7JUNXMCJiu_r2!)S2PcSbSEZ?TTEr6cA~qaI@|c@u2$?WG{SgAMFNxu*GE zuxKTkI|E=JWoc^ii>fPFf!x=~=AEIyytPX+NC@Jv3=gqfSe&<<+X7AEedUse0}@ zJKzXChAdzW4~l*M1?rR>2=(?>*@yeXdA5-vC4(KFK4DEJ#bJUKLg(%^=0dz6(vAFZ zX}^H=VI=5ZbToRJdDR-tglMuG@HTG;;ap1&Kz(-a6zSuSw9(tMQ?t+Z_7x7mDq@#F z-xJgQJ75)%79$3;A{Uj;G7BEw*k`v~1@^pl=_C)k)HJE@8nb!ad$)C*@1h6hNXTin zoLneYd&}w7z9W!oF0X6d($n76^g?#;_on^ZUFGSO*9l1v1ZrD`LsXHr&z&#+n>I^6$T8_-r5EC zX{2|t-)OBxd%kc#bU~Ez#jzExwH;IX`Y!5{z5D<<*z9j0{q9ZeFD>jCEO!!c{04|| zG(64^+jv6g{Y|sYJ4m;7z{1ti8Q?qZKk$CXy($n(am0==9o*T?542MTTg=S?I$V+% z-rNxIqW(DSucx_a4Z-WyJ@8cV`gs|{E z!F^kuo%A%vu4qQ}`(W|R)_@<53fD>8*Y3%TR?lAA?@fz``{&bhJy^Cs!=L2OboHO* z$^UP;7LF+29Ku#K@ykIhM{Rt9{2&J{xb-R?KFj%F$qv~qSCNsuV$v7)6Y<2^zfHb^ z4k-VPJwGh&xaXCQd+X^1EaPu0C?zz?gnt}F@n^;Wn03IiIJ|37)h27oFcaZjd zyh!&bg_yLrck%HKgktQjGi<}*79A$k@NHbq_huK^QVDgmyYlqK}-0R>!8*-bB)!+|L0Bc zbjP5Ob-dV_Y{}A|Fh9@JeZyeMpoP&K3HdMxZZ-j&9q8Q*K!EFdf5 z32iKzc`WxnZ$4vo@co9Hx}G=D43{&-IJO1v4OPkWF!k5W`CxznI%@# zU5p#R)_0_-u$+C#g^=bw>mgidZ@iXDgPJ|z?U9czexk{N*jBAkT-UMu5sj`mSlVS~ z^f`VDZw#w}d>!S-STo}T@O0LPd-)z-&Yo;Mt9T~?h3LL$RB88(#&NL&%X%b66*)X& z_xbLL)|uT#+;ClY#P8cPDUt7V1S2A*bk<*E*6{_w;?fn)jM%O)G;{=B-$5SYcaUDz zW9GYrxu18%-x^{rM`P@3cblEItr;@!YYrwkMh16nr}&#}+`FH3-|**rzS=oJXB{zP z*e%ey{MB7Nvnl>7jC6jKYi3Uv56=O~9XBN>$T|36W~;V>4!MP$OOl5p%HS?5=`%hW z-f*rvrANrZF8*}LHu6Rinzfj7IwEV>>!NN2;F z+}CtNanM@j-?#JYNGo0roWz`Lm#2iLgGL?ampeQ8=@PPF@}@P{PFd6aBzHW2x!6P- zU*NN2)57I7d}jyk#5F@P_V^9*h?>XgR&PI*rPnHn_1QoZ&oX2b9D;^HN;cXT!u)FP z4)aNO!~Ex6w1`Vie4~Z=<{JF_-j&`v`d7uvW~YsJ;NJ_ao@Unyn0T@2z3cY->o$w* zzxEM7U(@%|z&qKT?_Fzv5qj%lw=%rD>{^qbi&JCG^j)saw|TR8Ei;~PBTGWW`u$Vk z=lJ`l`57#RmS#N7n~aC6;Tt!(_w|H(x0s#A7S}3I0)AI-Um!uuh9Oz@oXwZ(WV4QF z7}owM`i1O6A|62xi1*vfcWm#%w18k|j9^t#4l2H_^;{AowQrmeI9( z%|lMs*`1QrDY0W=L1_l9{gde}e1EqX(&4f$;&PnTtYHOgg>0-wV%|rwiLRIouzrkY z%H7vM$|p-3;(pUrr144*Uab3gkm$#=O4vF7`#%Zz5g*+0*^jz>e=QR$kBBU`AN~))g`L#6PYS+pS4<8yUDsj0B%GyCGhT zi~#mloBhz0?PNaj`ETQ?Y;Z^MM7wB~8EYktChKKr1bz>;LY}SG1XL_v-mTU|^A1OF zCpiH_yp^}ZZlE*pPKq6D0(%=I-Q=)Vy_=Wpj?vbz7(HJufXVKi{JNAa@C{@%% zF$dnJ52rz-bQeT@w}}_ly2Z}3x6+R0J&w?<%qW(Vd&p9TztMiVxX+~Y(NXLgwBybm*x zU2Htf2&ar(pSutKWSsX*zdJ(eo{?k?;3z+u3_2$Vq!Hn}TMN zC$3$#hkS|Yg8v=j!3r_?cUbdk)Hh(tz$?tih*$(GV>SUDgWU1)g;J(B(_M%V)QnI+zIQ3}l`cqEnHC)(6h%ul1;;W{*|F;JSFXTfD~8~tS@*rc5| zJ0tblU4~APBV=l4Aj~QKA>1j%6YfLYVxKj}-vbw{r&A>SS$4}9-f6jsglpzB&i+;Q z?+x>|FU#t&Yd1kYXjWs=H{cdv@OZ<0cE=RCuEsdW3eLJS#>h@VM#n?++%UneUIGof z&0S5m@gPpvx9hzPt!XjBI}y7$>fOwqZpf+-^&ZGK&`HdkG}Lasjh(;Le=gtcO(jFDC3`oEv3k~mb>5Xv7ozH6mWpLCJPc58YJ4;Q zHg@|qk(DiEMmp$_eJ<-*YG)r;TM==M0Udze+HUxBN9}cVkyZ^$to!;(a{ujO9frp9 z6Q)>S$!`L_I_PY(jwg24IUdlc_Z-&gsMzhSXs_hl5l-*a5X`8tZqa8DN0bldeml&@ zjG1G^`NX7@SOF0U=H0z*q<#kvYYUCHQ|u?l$`#P=>K!uk2kl)(gPaTxv`g@6_S3E8 zgzthevGKQ-roG3?H<{*#ox|Q?H3l2=6zjQ5Rw400cxB=OMA^pZi92>L?L0&D#1jv} zp(c0uALbc>0r7BTyWwT`n7?((4NK@4Ad}S2{x))@LI@BGHZkL+fhWujMRA z%dj&vs$yB0FRc^J5}cm)?Xv9%@Ku4o?jAC>J@{x`6QdUJ0X7qcCG1V5x$K^%pP8l1 zvNrC07CQr!g9P*aHaP(W3`+}HBid&Xa!1z4?Xw`?Ly%qE=Rq@f7tz`Y<6Bo8vNw_a z07q&U1oRrEM;T4|s_k9Yleu=E9rQvR7rz0FuXUGSg)N53m=r?Qu8-qeJ-g)cROxMH zYRrn0gb17KmY}WBeCaV-(ms#6Mf=)3pNZKSli<=5(z6tw8}}!@^-}7$$sa%u*!S1b zH+5N9&No~8o}*OSws?Q49^#15cDhT{@ueMQFV79LjfP}SVRzwsOslP8&A@Wf1(sKU zUr?9ie0ey_pJ7kVS;rYHj4~)JpJO=7R$pM0s&=@^dX__9g-z^_0xrRlTE;1CA?!a}*J6;j< ziFQKMU{67LW`QQHtD2c?L{8R~rmi|HrnWbu6EG3TIDs;rD+mH58LnPd&c&}k>g2N-A9%=#h+vWEBr z%#1!eJ7Z|T5uA#28c5&$PJIt=4_m{}Ff_dj(uB-x)b;XUM>$$FzuodkC)iw;Ck6Xt z*U7=Uso0>!-N(VIY!XowvQV~2#PjDBc?AzFVoAI#!wDl|0lO9#3>cwrSdcf_#fLv& zhrPgcJmG-dCC}SulX#Hpf5WVY5xFIXdWpPmY39@OLwwBcvAjF1Utr9nuljp%NW_c+ z9z4OK$$u7i2jkf#m!kW$=Y;V@){(Uk;)(WQx!6WBgH0CN5ChTMlug%D$he$??e-Rt zj3m@O7OdBf?Nux<;~!xWH{d43A&V=nJRi%HWM$A^jrDSuje1)V0U=L!iMXTju>Cu& zTi7G#2*j2t>t{K?1V?azypc<~2bPx{IAqDKq-MbLY{UaBvkH@Ry{{~j)8e#uip219 zqWw=a+;+i?cx{Dx>Q5LKSM3^N=gL&kOyEnHXQlm%4p)|(MjAYE;*?}-p%LLyl}jLo zv?=cvJ>R&4|0!*TrnMQ`$=@pWByfE@rb9qJ%&4(CXtW$Fv2EhI}xj#ViQCtYWyHi=jO^hcjAXy;e*%khWk;_VUvX zxL7~84tcu;bcK#MAZKNZky);VdOu&IohooWo8@`%7z+)+$}_ss?S zvl~rkT1VX*As_X+v@Rot^LNICU9xUGB@vgvYGhcs4&M_G3Hu|9=yFiK6>=$$XKI$epC#&#;!t$^R195}8^IpjRly=hoagGmOP{*;~FU?N7~FBot!<jIo?aGb)Y{P_i;{ z6wxO8xp-~~w%atG>2LFd#OdH$#5Kov5KcWsPuzR|r_sE!$jU#R;>Y^zDW9F)fy|<@ zJ+2K$g!U`*u@14ByQb}qmR8sqL?QcFt^QqhgkisYS^1$vvF?OiuS2jSdBp4l5Dm6@ z+)%ujY-vSDU`WdKhGAE1&#o87wCiOlK`oASvZp&w^={~1=9P_$L$2Ak=m*iU&Zgl6 zMZPBF3+yhPnrG(hxMpC1ogpt^;d}Tl()91N*%P~G*ta8OPSngi7b2!G9YRN7b@&_e zfJ?S%7pas4GCH3D?69&fS!b%9$K?3V6MaWMg%FM9mqlwSBn;R4boTU;DjfW8*%We{ zkSS(?d~;>N%T)SK{^eou!(*=(&}Suo=iWl`|zGIv0Y)qz%ylDe`Gef#@U^{=N`T(vxFYs>ySyoT5f|x7Vgth zJj(BX3ESc0i`te9Sjm#w=mv`n1DYoj5iyfgvg0Dm&H$b)m_{afxwX!za82T0f91!Wp}U6%vOb={=*- zFYFcJDR=@yI?>XMioF^ORny@yw&T$ean9%k^N=l9!=sdzgVMSKelKx^y-lg*;JZ!~ z4W+)}I@gE%^H68)mDn4@FW{$$;r8;BK9gaQcRh? zC$HIctmAL$jLa|G4}PIW9#|}^c6ugXW#sYR<$Bs)o=}svn%`NPDKcx7H?uZY6favD zFscm@J04u;dc-M=X>+{d)>s~~^9*`U%-FbM zJ9EWiTo#=ZG8$OK#MWktza?2i2`V*ArH5EHy zxm@`6-N)qDM>ZXV6Lv)VGC4!e{mm8qXzm0FkFuf}cGhg4xbh(pHfoK|Wqw6826W;Hy2k6{|<sr=$31mZz*Axbp z-&MFjGO~f;T9irnWMfA|G{zS+Y2iMUpx;OI2^XAQCu!U!0P@`1rvdef|);DOSH6{>|I2j_-mcyZ}2AB-kgK={W=~-7aU4D3~x>K*wf(@%6j6<&6Pc)1&&!#+o)8a+i8UFLwkT#xPkMEP$YQ6)uz_Iz%{I`gBh=z4; zI6{rlvC@0x>825{2$z|43aknImKd@P*<(Y~d$z8|zSw9TB~9~ZmNt94K4t<@ZDg%Qdq;1|8XggKELoO@d+xv?(jx+-EdZp>}O)eRVK)Y8CcD( zQv>o&?GuwkzLlXro6I{|uCrtXAD#$kHKOZ_?oLy z1CF{r7I{VFlVZPoS1WtRW)wd264wh6k@22ClU<5$WRa!FvzyO~zV=u@Rf^(Ro#T;z zhxR*w|Jh{jCJD-zm;8+Sg)6J`yCa@?>P?@bg() zufjE}SM9@lJ<*^subLO_d@MP`5hEyqA%88%8J-E~w5GwTd@<~bGxE>n$9>D3%C;LZ z7YW2=p0PYNN%Ij}l6W9im8dlF0xYq4Qq;v8rZKtXdab=;U3D2CuGvA7*%<>+phW$}Nx%cCRUaSln*Ue7h1akfAB2vy{p+*_iAijlM+B zA&R2W`ON8hvNofvv4e=HR#{RO4M7^u!fbur8mIC2WU zsUf&*#b!vDB4JO|pGc;h+h}r`@=)ky`OXkcI=R-=>e4ycP+9KW+A+BcuvqWLc!YWn!TtQ!4I%L!!BdYr8+%z~+t&_i0Dy%^a86Q$e7Pgv`$O zgbz+xTVqL$6-BFsr&;E!@=5Q(m?OsOS$P$^K~@fylzpCTf!Hs}qT-8yY~6qHH@*j% z*E8jO#@7u2v62>*BWB!GZXz;am4&i%ie)HjCf|LJxazv{GwfUPDue%w-lwLBS&Df% zXh-^et!8{~%qH1#RW*anQtIP(@9y>PX6QnZFsh|z^ zcGTUrH!oQdjnXvZR&Sd(pEvIi?OIX32A0OX6;LuVbgfw7XX3Ub-$mm40-VJE_Vc#E4B_n3g(|J2EHBX4jF2$qVl| zJdAIF7OEtYwO*#Zf5#b+%Y{XU{UZFZs-MK_CAe=FDd7D2DARTa6` zJ`CSyrbGQenHi}(bLn-fF`8Z_3gWGl@Z)y}BiEO5f5HZEn)*%9e?F=yJh z5s~p3vqlz|<+p`;CGM@?D|%!25%1V_i0>S{<(R#Pep8*~LCZ4mkV~v#I!;#6QJihhs@+p;YCT4t0yVCChi&WyK*-&J;!^&Em% zMp}y*^*`&DgSWekF@#zb7Bve8i=6gt}m^bgn!|!c|YkedvMxdFN+?qa*-WOw= zlVKGz^rZJSMr8)Nb|?2W+b~SKcZv!bEY z;Lu-NBP;Qr>bm%xHwBHTmf&jf8+PY~N}B-{Pp~Fu@LoPue>lt1xBPV>!{4di|VjJNLOfH-=D!ot5pndn=nL(yv z^^7XIS!eVI@?u<1DI4H@@ecA&#lU&)0bQBgvrc1n-&v2Syx!tVr%gIX)_fA~#dvMK z8CP8Q7fp)K}#*n!EE%7^ew6GBN z7S5uW1m?GLs;lFAX*ZcBqzh-TBgPek_!4N9x-m(y;)7oMs9dmPc2&qfA#Oo#ipK{n zHXK(9pF#Bna9%!>Wc1;gDf;IYRIw(j3S|{+C3$c0p6(ku*4s3^R$(a^4%R+;k+CmS zT@%Hp!%mo#dQP&UBbrsAFkg4p6$@?gzETgEHVyun_ocA!H_*=(?~60*zJ%=(>4?Uj zdDp}mEJITWX@!_4EE~)htUR8ND(qFQML&X2V;t`xhL=i_vi?j6P4R*n0u6eW-aapg zUU!RIuqtkM^%ilYbs0e46K$)$Q=fDF&N-qQ|HMqh%<4)*GJD9JP&++Dus5I|i-s$2 zeVh^XAns@yuG}efdxblo>QC%?iK1?!9k-m-DGr&bTIM#_OAnkUT57C;^K?N}pAq^TetFo%(IeF)# zFSxGWL5Me^KLR_{$Ll%KmTOSghK6yD*`c-**eNq|U(u3`7d&9qiebd~kz}%T=gNo( z8nUdi!jeR(4>N#vgn35e#Pu_u+nKdRe1V;pzSbyb#1DmdydrO|eH~}WxQHs>%eWn( zme4cNXHBxj%tj`kRWR1Hw*M6|+eL=A$YJ-oBU^W^viCvt=o#;)_aT!CDHFE4UnMm5 z(rUMB98W26F~SMsQLFWc`Nw7%%g^V;otZ7km}g0wUH2@B`VNV4KKYNb4VtkPau0dq zFP!%*k1f2Bvvm9{E<4I({2lKaHD+8rF{NY?XJfMDoikZ@TWoX0a$b*gKG^>IXI&}s zxsY{bjKwBd@AO1Al==eMIP5$)r(z~A+xUn0T&w8iGmuW;4$vd65z`H3)a;Gv9L?4l zjm|fYkcVJX(kB9c5#+;W=UMc?p%A4@2>Z{v=14O8swIfJR4j+-b>VZa6f%*7k zkqh_#gY6DHWLyzg3EA>so)L%nFQLidZwf{bP$+hcM@7yCpma;?@; zrS}`9-XNnvTr8RR$}Le(z`!q{3DqC2S}VR+Vnpq6v9e|DaFsI<^_1=#zwazv9G}hf zLNzdYXWe5)jA4qmN52bZ1kT8^g;ZGqb~Gk6NfbL{FH^f0=U6r~JdJ&W24k+Rx2)`Z znMrX^{{Xw*>~{3Kf(8qITydT_Fcq7yn|Nc|+hzB6kA&hwf_5g2M#7{`BUSscwNd8+@U+h}sY>wWta{53W%D(oT zE=k?C)e)9;DA-_SEt%aWBj=#lf(zc$8ZFv}dv=WF|AifML-Oxyoa*_UWBvxo+xL?2!XHZxIEVd4(EwU;TWnvJE|Y);H9X zgZC7D{z_`A%!r`F6@LOJs^dZQaybt#Vvvk~rV`lPl?6nl1FYoV^59098)t@#Cc8DnuBOHP@^=%r@^ ziy8AZ;E`D0(A9!bV4*x8P!_7j{VkKHVI3N^bLfnPrv(-}7OMg(syIKPD#dRs&k!tU znOe%~_k6an3i8fWp`)B5W&6t$gP&ONhRhz2LE~`&n441b8VkTKCORaJxqL>FsCJ-a znru$Xxcm#|5#CC-Le-UJ&=_lWPp%+xA>WHP^{xw`E--<0ZSo9ELsF)_z-CE#PqVys zUyE+&Ex1R99v8L;H;_*g^50fe<@JTe9O}#130V9c@R;5;g`3UpSr zJ8g8-32R=@R`cDU>{NBtGE z*)Ms)^qMohKegV(&Q+r6LQYYc@m*>7V*RpS%2H&6 z>}$NK;0;?nFrKT{h@9I+#+7wumynN6M|j1RB2uI2mMIJqmSi_g-h6id%RA%WIe-elNz1Yx>4=py3yS z&7!usw2F>ZX7pIHnk5jns;r5Wzrbw3kjP^Y&r;(H%lc8T*YSJ-BqsEH^7RI5fp9`E zscQdOZ(ypk{x@4cB-6h~-~Zdeayn+OX5oe3tkmQ77P5w6HpqlJn{yb(V&1!?dn!i8 zX40bp^=PT=jB99R(Wq-Wa|BaW<^+R8hz}G;9%FQqxOi{(#9T z67TmH_NZbWzDn%MsL!YZrvgum>;Zg|dMLRcp**7C5!JDwPG^drBO|Vpy|yn#9b!(O zdfd)b{leY7lVh!}!*xW=AFu38^tPBC#lAAoKp!(sQK8PjH5`#s zo%*DcU^H`X)vlqH^cI|hWd0l+RLF3dSq8uDsPuBMyg{OgLtFR8^gNzRsIv5DfS^>r ztW15n1K>T$gQ9mbHplx8sbg#Cg(eR!)F7?e2?1M%suXVuUCDWe8K>*wB^#zy>|0&A z)MeFtEc*Il@6@{*uO{{&S8jGhzn6Ja`iWArhs2{R&=VkJ&-GHBh-cxc_w^-EQS4&^ zCusJjtaySGP}dCAeNIhtfuQKwJ8Q@F+N6SN-7_>|w+G&?mI-J5ib1E0O}z^(O2=oy zp3-oPi%M`ieQ+@sL9DPbX4VrIT{mVI#5kL+8y>RmP-m1>m8ee6hV$TjRG$U9Z4z(f zDWMlkoO|Iv>Wi@N?K!My@UCsXwRTz~#d4%!Ev5(h)mtb!x8sag)L>OLrF~x!HR=_Z z*|-{To1ox*tKx_ilrz?tu^Q?Lc0^eLSY!HVFdn+aiYY7CUnhKE3t%EFw<1WDVh7QS z6?)P7>?&hLQZ8N~U(1db@`e}veDVp0W?#Ss()HHOS6Pql{ZtvRF!8##>Qk99XSU@J zP=#YWHTbQ>dNEdI@j&IT=p>+Hu;mJ8B$oD~d8@#~vq;)n<5qsM&|hz@xW$QtRm9e! z1nefsfc7_DD|4;<56OaSzwoAdLK)RECX_u!L>O5Kr?A8v602wx<8Aw|Ucs+7u=|AT zi(6gSq>PkxQx1F7ev(096hq#u4!o3e0@4ey3s>Zi^JT9oWycWhYOs67n1Lr}>hf8l zYs+sz&Nw>>e^Mtov6hN0=eLjn;rWmSp9W-GNuaEX^>0wbdf)eKm`keQ=ph8(=sDZb z`=FN@@u7_U*t?9Ut&Ujg*5|ckF&6>WjJ}~*QR8_6hS1ujBTk$2N={Y+1QqLzEkZ*a zlA1@&7_$_*JPXMwM+vJgD;#)fW*c47J%~8auA?Iq{t6rw->tYN>W)FJo=s2nq_{ad zb1Y<1+Pa;w)5r>STve_ozL(>7^GrIz+=rZzM|QO30w0ja&&U*Uu?!vQbT9x~u&TDA z!;58PI1b=Cx^B#QOUV;E!p^TyCndOQD(6Dgt@vg|dFkvax%F!g&Hl*q;fyq|$c@+l z|HI|b#@RRw728-t&*QQzMYI$nWiG?jtcOLRD|-+AW@JQHJvO7cH6O0>zcd==KX&Jc zd7O4GK|tT~<1Ho?-&__`($AT7bjzT-CwSBu4|I>>QrH#pR=q2nbq2kt` zWVJwg>$s=kW328t-SPfF#&V8Xj}4x7(!*YePeRj9Eo&F-9#3i$PL!TzMC-=VA7yuJ zE#;XxtI6(A-5C~)yfmx6Ua&_R17kS`sax(b|5pBh=@FjKPPgi7Jrl_{*(j~7RquH% zoK;@SV~L$wOJ+Itdz1AVqeIniRJG=sS3@=W%(9;Da9W4@z-^&TtI-DC5|NdAGOXua ze{(F-9W)cwGI%{D!(~zp7i2Rm+rz1%zN<7w%LnxxCSSz6`o>+RahddM_mw-Klz4kb zb>VUSAnopG42Fcc+ftp#3urB!sHprLvYkvqW8Xsi98Cl7E+5})h>yVcV%(Rkv*9z7 zAJYe7OV^gfcB8wk`oZs|HJx$Bsr^lkm_}){5cVuxql#TRG+87h^tpBJ*}4GO_iJw~ zKLVsKxfcH*+oFDFkJFWnZ1C3OvMtDovS^ekM+Or6Z%6S#egns&u8YmB5~bkL!rsVY zX|DBlE~$s~3iHn@>GdbX?~UA6*l48P#8gF@s_Ka%Pp}vJ%c%#cp&8>Z;g%m)o&qRL z2x{MZ2zZ~;ARG9y%BYOUJM5%0V-fGg7^3{BpHV{J8Px<&;bAKau~-pd+DKjy! zwHoBC`7SfIJ+gOk*8HvP9-L`{Z6i)04C&vKbrtHudfP7I?Uxjx(+Uaqh$lR3AtklU zBP>_wuvKDv-}4sHyyZy8GTBVREEkniB0!$1A2&Q1xI^_Ntsx;S7yH+&knLmZeWVdG z8tyGyPlrx)#i4s=so@iRN_|H+F;(U>Ce4@3G7-FwPx`2U%E*W>YvU#M#h>(ja)chteQzMN^dvcBrfCb)RAzY#+`t z*@^hwvabNAg*4MSQ>;oJaW74=ytHv%fL1Tgk0`nB5Blu_JRE3^i!KzsAPyVg=d)Zk- z8WcUiwT1T|!fNIh!2r%J(SfMFmmQkVPgkv;*$11cDmNUDNm;P^gJ!Coy2CokUvVU* zb2T}0Svk+J<>W5g>3-Jf3mu`8BVbnhI$hRc#zf7np9Xmm{0zf;$zl$k@5mkr8kjBv zobWitmc!>$5x>dX*!h8qZBu`4R>=A z@-}Q&u@5cBkdr!ejaxicK|W>RAl8lHB%4AqVQlu!z;nTc+3D70=UWJ&IUYey<>#5* zsWh+ZVRwstn^`QJ#f+}o%Is-ux|ZL;BXidLrGOm`VQE*x56EJ8?8l^wTz;|3j%dZ_ zsUy44DORZKqnvb<|EvBF>)4Qz9RVHdEMfnddzC-iY2iT&5sy-2u9oGX2)forvoJM( zFx0_|eMbk-Etnotj0r3vS=m>mjo#MY%ujF^|EGIs_M#1PTeaB%XLfaO&tLMI6y-G% zg;iG<`VqnNNYY}RwppzT_j`Rw;N?S?)JfGHwRa+m72^L#;CT8I3bi8fpk=oMinB3; zC6hsvOMQXvhX+e5OFU3-;`n0XjA`9JMO)rtwU2rSx(_;voN~OQOPtcQ!^|P)$~*O% zd3KZTRpl`XXPA%5j0zQpK6Gi!Jem55i@Gy+eLL2L6BgxBGXLfJ@^4~I$_?NI9o9#_ zS@w2f4mZ`+8R?p~gkIGD-jR_y`FrJNd@DZ*l3l?%++{CE-vES_U(GI8kx;DIRyesk zWXUit#Rq)%po7RMoiFYTM|omu`YZB?kz<_}M*g|6Cv=-xB2UxUJnvUr&9{$KQWG-| z74KEmg?bR!`9#4sO!HZ57f%x%Ya=Z6zDX{>Lr3U7WtI+WG0y%KJuWV1>e^$w2_JwO9SRI-fsv!(vb5XGHfC)4^#b3_E@snj&3e^~9#rRA<8O+NYzJWLgnePQPk9xAI}DP>vV02 zGsxH_7s{gHd=LET^;(aJh^yk8cm|u-aVkW&JSgw?W*;W*^ukw$_G{(ar}%Rjr1>klr48K+JSx$4`iW&Z+5I zIL{PGaalNs>`3rDeZ94QcszJToKb;Qlw`60(53i3V*U z10bS$|IQK#@djQ2q3--3NOZVqFT>y7;n1QSXtWjTi^Ir$W(*C{36q)8epiHpnH3hV z1QXxTGObO&V;34)rE(_33#9AmQ|JTsv`5Xv zS>Tz~J9-#mW8YV^ukp)ca;7AXUkpjC|FoyqES>#qmPvQ1Y=&8sg{SS-?8uop*7atQ z7EW8Bmqck_)P=)&2!G?S8VlcN6+F8>%Feb+I{9hU49D3EzY9n&Xmx$xSRLOH?iWa= ziJCd*lZJSa?-8qZp&o$I(7n_1LRkm-S4dj}qzNm=nU{DW>Xcl~^Q2{XKU&Iw_w{>e zIPLGaHd7oi;2RuS7&3p1`b^zNaBq7ziT!Shiib3p8So-Y8S9S4^^H4bA4D zUDd@$x+rt~&v__{2nh>dbBLIrap(Anvr;bWx#eM>1gj%y_PrT*iAi9&E24j zR$?>PHu24Cop<7!Ea;vnX{b@Y$3KnZQf2reBno;`{QP#A98_-j=*A z!{^f9ATOO~bbk1BrNbMr9&kG3hQ#&cBs^tFDfc*JDWZX}?_{!hw35ES>BB?W3P;OY zcf6fqm8gbgDp0dd3=i83XP~?Tw<$5U45nmV%_iaLT4yk0W^CQrC)r^mVu6TNqZYNC zFd=W%vyiQCUOeL#=ONkUbT8AkF~c)r+-+SGWDL&;G_yrl3#)eNI8e1-H^TIr-*DYy)7oU`jWLI_uFyiol zZqwCMS=>{!Q+!`c3YbANYvRF&l{^;wFg^eenSQfnt!@KVDt{|d{%?MAFW>F_w zXEVfPC^r;O~RB zxNEjx>O9|J($Z;BF^U)XLv?N^?k{Z?_!wonuy(iU z0ufI-EUulsS9+U#wF5e_SBpOPPHu`a)tYD}ndb>2UA)yDR(6Q?XA z>rS1>3mQT%vbW5F@@D7DeO#}|-|X!4OpB^}s_$#CP2i4oQiG~6m)5g)4xKlO*l|a; zzO^IvBk1$Ma%;ztF+at6p9Z!p#H_+Dgd3{%e88Q?rhE}UL%v}|m=?z|%pSE4b{nK~ zOIFR+xYWI+R&hPO1&@b>rX}-^uHNA+3r21ClOB-eDc4gykho@EkV#{j3{9d84rTa; z7{Jx+TI)vdZCF9)c699uSy6A}?RKyg$_1kbkzqeRZ~5nNZ^XIzJPY4n3ZEA?9&&>H z^BbAj4;VJhz^buR+@<`K&*1h~EGJY^uq}(fdpub691Bk)ONL%z1M4r6tt|Pd*{AU6 z(l5q{8}n(lJe-H(dtUM-$@P8CTUit~YCP=}=cE4A@ylR?D$L>a>=%&}uZ<$+!0sjz zE2Lx|w`QP{cI$dYuc31Pf=-Mb0|{^81-p(fzpwe0m%^HC!ShEev)&6HjraRd42hl~ z^sq3i=<(ocUGWKCC^n=W0r$VxmX{KDMbKONxvWpux=MGTD?IWWyM`*-3xBD&rQXTj zUOLMAkyu`Y*m6f3S_hvy*Z1eMRWzD+=BVd|27Dk+Pj7G9D&~Y&7~Z%T^LBOiFdh^L zN^4riAT3THM$J7gSS{xZ&-fD+a*{kn&q;4(nRTXNiBNjgm0MdF4QJWO^2m=k`TL-I z5oVowv#O58c`!qJFeJiG!LK$9txOl5AZvxKhG(ae-FaEd1BxB?E6it%7{Al$o3*%d zWYy4YI!pcD>kKR2=eh~8A!%aJEIQjxbw1-!%jd(RtIjYmp2=|OnOWC;Y!(+i?VfTT zH_6=Il6NdbX;}M=2huy=f6_dPTV{7bv|uf(fVMnQ5QTX)jAUtx*pAL7Q}wmU230ZY ztSf)~E-W_Z78MAx>y>YZFRo())FlN>SJgbPAzH6OW%omFzK`Y_w``Z%HB5Lkf&ok)7 z2Pk_&mP~)^yIuu<*gn*GLhuYON4J*9QDesZ)E<5BB)^6NfN!=8EQP^&nlom^G7|Wkb++s(i(c?{M4iaCxC37Z zGp$h}-Q;+~Zto1l)nAhB7d8X=N`opZPqARdiIver@4XO3Gd7&HCb|VHaYw!+=Tpn- z`gm%+YVb+-dGmFvu5s_yb=F>@6C3cxDNh*F=@5(M7DdLd&y_eI+ zSSfYsVYT&MSVWzqsvHtkFB6gE$t(Fb(d})kx&RTYj!&^$aXvyU*ip^9t`^&^Q7V!- z!7n_qH@B0U&#XK78`Lfl^|0%vAya!{CeY<%R3Q262E_vMZL+&8@)DSuvn*Pi30c9& z@VXsBi()fiYmry=+oa!7SRKh>Se4?rS_DP50KYU~H@G&gIKw=c9F*FHw^r4yjnojT za{hF#lxNG%l!u@h;|$8fa0+YG#iz(nJf~C{l|;sER`!7%6lvlGtN z7?TU-XDz!Wg>mX#C}&croVO1s3mXKM@%%LyICfX!d3LtfOKpd=9JQ6*g2(IZCzy&+ z7<(7p_NZXAKQWq(_(0J6p2 z=53|JOML*6(mvm@?0IF|?%4`Nz2ni<$j+Gu#}1-jiS;&BCzo)FA>$C9RE00SXwW!h zs>6O9cx^WI!p60DH+?E`@ewTRNttT+5aI)csla>KWX?i@H6im|tO@AHM&uk1QB-C@ zUBT%yYfr4$vW@mT(|6hq39$**Os9vlcUWI6oX)zov(O_0AJ~;jQwMDsGv}Fo?y*7t z5>;wm3Oo~gOFX0PzHP=YMCJ^zSA*^hzysOLcw6g`#Ww?o#@fTF`;I7d4z@)%wWr)m zs$_$lfAoR!cPj2)l4;pm_)^wRMNy^nRgznA41(uZ^DnZ!6r^wa@Sv1CCfdQyID0yL7k1gI>63DtQXuO(k zEhFM&V=ChXOL+k1-e`iuH6!6{34DljAKf0RH;GtWwp|w^85Lr+I1zS1F)4A<;l8%E zwwC5W!OY#$+3!AhmJ?#t5z?wDkpA2162Wsp)Jukg!|Af}4bi>Kr=pSAO6B<~4$M4y zzj{2>Lf*4x>clx#TYp%_mLimB8MEC{$IHUS-}#%ua~Xa#?)MCOsmX|N36|2X;cxFh z0dlq3(%u#Zb$&se+{(N(&!*S!OqQ`b#!W56FoZR9A6AC*SI`aY1Xw(szoM*Kb?#@c z7z?V7w)lKPE7TG>D?y%)bOCtYP>S*dEcPcPJz)nZ26sTl0=}D`;=N1k6yCGib471v zdSf4SB5aS=k0-}^?_u{NdaG2!NXMfE7r(zSgKmHPtm7D07RvJRV*`+7$0WOO9>%IS z_SvEJ*-Mg(b{1(hf4bT=SSBwMUOM=#xqXoQ|S6I{s_%~A!kviOb5g(ff z_6umx>tstb`M*AV&!FXYDnG7 z24#^4-MCQsfOap{vr7h|JaR%D9VL85*U|RM8HhfCcp{nO;kuB(vt4^hVU>Ncdh&hhrf_ol3Ob>gWr5 z&F6U3UDk&xY1J^PZxJ3W>m`k;JaVn;Vvg_z<079Wti}qS)w32Ir@F^FIEDD9m@%+z zkU9A?^6nZ>O3P+Xq6eN#pQHoQHO`*I?(mf8tARF|-<^(@Gn{K73 z?t4v@l#*W|A!ab_ATowLaw@cKx{e46Xq^s@WAp%fq$!3$7EUu@9ID$*Fq&keoZAZX zmG(iJjE)z!&K{o$IYweX&7-Q z2M}+zN?j4Q&bnJni)U5kZg~ancLi=ocMvOT61KW1b?Jtl8e{?~MJC)oQ`Drc`@N^N zNv^zO-TZBlMyVounL9`h|Np>sd} zeX-dU*RX(cEm~jshqC{Xlc>z*UWmZeEim4( zo%@3>2;Q%8s){nPj^^>eRD?*RvYM)#!v$Mx@n<2UszWnAr13hg6V$`rDkOyawJ*^L z{Ee)|DB30b8SCcMyVZZnYsu+WO0Np5;H-L$uI7)E^@)d+KK?~9EP7DZt%2v<}~7CC;)9}E1DdD^OZ7IS8r+Ri}NN#CVaBdb=KlL0Z~1(6ri z-A2E0!d}y3&9P4!tI=Cw6;>_7GC@+@df=yl#rNF~1QfaJK4WDC(^=5-n^fE%hinM1 z-=jBSm)KL#yYz+E{M#AkKG$bwT8s?7I(uFtsv&diknjU*#w=1zY-c6$8To_Cd37CS z+SKJqtc`p3<_juUfM>_JM_)XmagI?=i3aZ%r@BA9)(r1hHMth!D|XSzleRkOuog%u zQHh8`*}Gc*ij$GA9{EorZv43t@^ zjuIAj#9sa!mQ)P)JrNDF&5{H4|4>FyIa{tnEPtrfgv_#GL8RShlB_bjZ@8YAQ{Apw zK#86?uQhPq4ciR+6?CdJh^*XBwlyRZiuqR0XyGu~kS(;%w93<<-{>{hV&n&j)7!_} zjXb^>GUI%(v`R}tBsV4d(%%`>Vzm1XjP;A-jNOGc8`o6%z{6!TYgGaYW+ zf76=J(#tjrF(Ot#b{Nn3V)gch;;2RgB6hKB;^bKjDS`lptpi6hD{9 zyWB0BP4~+6R_t%Z9K^aAZ>gGg)BkAh3qEUP->Q~cGk`{j`F_M;#v!KSPm<0KeFf`Q z(j?s2C!49u=nan{n}jo4l5j@cSIt3vCs;IuE{}M8?Tzdx@_J@lZAOtqv@ts6iO2`!mxvQv#Sc~E0b!j%OCX|v$)fd8 z)H<9O<`oHwBN>-Z=5L)5XDvKhzDvgKKDopdhd`c4n``8Wh8c}LMO=bmu3g)P5$fa^ z_pS`}IttRbEG|Js7kgS&6FcNBXqIC%ec2d!KPAXl#N@}~g&uo4TJlVrjdoc3inR^z ztqu&JQz8_cGtyEVXglAe7uEge%g|-?C8O;&Nt_U6ktA|9L5O&|CEc?=CMLCJDbYmn z-gch7t`S;tWK8!&S;FSH_k?x_8deq9>ikLeWW#rqHgGr=zuS%eOzIA2n|x zHr3dO1@_%D-BhvZ-YdGm84;c2R6N0cE~S-i*0W&YZv+7XvxZ`Sn28TeUP|o z;#(Dij@7-bOgj3M1RGJ!3@b+FsrA2BE@PrTe-^_pnGE)C%p=jBs;pEQ({Y`kT2R$# zkg0f!j0*KBvg~ybi0*l|vQ4>)cO@rgsj8fl@?;=-MJZE4eo8sJ>E-M?d;K7#mnW=T z=x5!0{Wvk+^FHKUdHTaTo>+xNS;ko~p-h`99>nA{F zBXY`xiajgSYuG}a8CLMg?54UsN;6s~c68Fcab`Gdm`8f2an=g&pzecPuz>L#Z&tlU z7c1))q`YG9HMq{4+Zp2^VCQSSZbQ-R-+`yoKDVBd7TuZ?k3H?kW|%f4axNB%`t*CQ zeO!4x=^hCrlmEqeI#lc!x7QHoAT)zq&;f zo-uC{oT)C6Zndd1BFd$%`d!6_l_lj}yw8jRsZrf7y23i}yDr5;B~JQucCB&UMj^h2 z+2CZ3r?V^8PadpJX<&b(?BbduMzvR&TZP)m8O)+mnHXUg&u{TZw`ea(%- z4V@lMKb$ZNw>@@jI5hSkaqmo8aT&Q-@A-+O>{d<|KzFe_tYg0r@{(}?>K-C5*=|=2aYsv$bPY}+UQRYo8(n5va8as^KMBYG=H)2ENeu4M(EY@%txl+xV zd^=@v-byV zJ0}PHNKc#txP*1pBUNWv;4L4JxvT7Y?HEN=f=0HPwO&VNSMDe~PcaPo-_P+6h*_FU zy1o&9Q7rPdzoE$$H8Bl*1I+|FMKbIsfci=p!)iO*e8ZSM$3B)8+qCn^;akX57x#vi zx{tQ8(U$$oOcQxuh#euABjINs$QI|{`&d~3Xr(5s@!Wrh< z)V`Y#`$Y%QH`>p#bzhy_W_4P=@6)fF2Nd<`6bZGQp`P}>&LoL*tr3KgDks31Pf4>` zp)k{|9pW{sSa0fc$fS~u*J#g3Ay-;F2dNWUDr0aVzoZ}1u3_vn^`KKM@1L5V zrbcyx5eey-l&j0DpF1Nd)h<%ZDRyDDu3ywPEvZJhhT-7>XY5Xkswo=I3X%Q8?qF}3 z#|-8#-zCmoe76x^kpAuX)5ou$lf?bIupf~YussqJY7DgZ7`0&mo?i28OUri?treeQ zkx{hewUA3>_TDvU(=}*VTRY3juT$ivZE)k`OTM9b{qErtHo zL?sLdf(Mm#=~*m~3sIEk0gcmZHt+U^JvuCr!7w*YZVl;EPkYwZ@ELuErNb5DV^%>Z z@OY&xFe-~Pg;SW=yNnLo<9=NF=JuP@75==r;E&ca{}njS|7-qRWi+dPiaIQU(BxB% zae9La>YIg7gfyWd9-3z~6+Ru@EN4dQXX)T0Dm3EHSi#l?ctYORnojjan@zFJrVo5q z!EVTZ;}i}UIC;E=OhC@+!tZtEoA5;wPKVpz-lhrZygY^9pK~IE_VxYvKrt&3&3U$k z`c_WxF*Htg6jt`v`>w2OKmQX#$CQBpEt&SQ=e4Ot5EThrc zMlj|BadvcaW)`tZWTL>!X6l+6Jy9Qt$B^vp)M-IIVI&V^RjX$4Z7%)nf3$G_JUKr9 zcl@_XZ!f%-*8k4hnJ&k5Bv~2@u`n0lxgjgP1G;1~qDtTfQdIS*Ic*>oGD++Skf46d zv&?sndn`8rsd~)jN0kU5^DYq{z8pX9{*rk$vhl+IFJQ9m!H^CP-nVUGY!7AS?J`Us zB97hVcf#pmr@2e(=1ZjfC6j&T+y9b2VQ*EpqDTk4@2TwtUeFctLO#d!YLc-Xrhe??T^mEvlTz@_YWs(ueW)(eCKR{;2BGe&MsAsGDSL(V*XT@A#jvO?sRa z{1ZQA^&h;~>+yeXyrm1}f6{MXUA&bwiwf97;;a)GDb#*BJEbS4Q@apKxE7c05$)5U z6Y+2U7arDZODlSw-!C4Fd`6;Ua?F7t>StwMKI?>q*0MEIbwotAVt2R?DKn0Q!W9l{ zVM^OGmbav?OkCrJ%KziYgE$ss`Z0b>pgwRL7T#C=isOy-R^omm$z)MIor!f88;P1? zJO*M@_*paRQ0<{A7f%#*Q&=f<#-ql_zM2^^csuHlGG}7Xj6MrY2;=(UM;>kwZ;;o! zA!-etCvRwmCY|8fg+qLbs4-bst-1@b!gH>73%^9!dQBuf!bAEX_6`-KXkW(-$4nTF ze52!t=_&WU5MOpp=q!;S7?J7&>GixKFGxCGPg*7ZM!Pg;2< z`DE(qiY=Y0U$k(=Ig|a=eM#{-PvcpXLe*JaRvVv>>+fZs5WbHupeeD^z+^bu{%qhc z4x|{n&u$#8i9e{WI{ovAHH93xmYg~$-cUW$_7#`lXQ`^~t2RFMI3zN`z{Q~u?t(Or2eP!0Oh65&YWihlsE#9p1z zDW%kw+PBB!wS;Sxk?1qx%Ma*`nKHSmU32H3Ije-$B=U`27@pdg94NWbYEfa27p#7! z>WlTkGDwxVYGSEpBrBZy0&cnfWnRsE`(pgwwl+C_>u;wol(RDqoD;G1H0JoR&-i~# z1CA$-$`!m%euoFE-j(yM8{LU>i!ZmmPYghYPtu1sg{R62c#0GFtzy9BC@-)aPMIVJ zOW!=9muN@zn)xV6=gr_q=V?)0lTHJ2DRjjiG*d^m&$VluV0vXvBzstAuICk@54ToY zr+_FW&Lnyry{UepnwSo|@lt(MZMg?r;AfHUp-*2k$&OFs@4u2Gv1{`9G$?q=MFg{roS?Sf_wlc_6%R- zzoJ%Y@}2Dc|1O_V)mZ--eyi0{l~+8`hg=B`%Q1d!jB(s;bG~;?elck>2|I zGggM@?V=L;4a@79J{PBp`9*Zy(D!*VBwd`n_E~=CS!noqzY@2pk1C zd0NrWFyenj_nbC-1I3O^9{^8Kg|?oHlLiOvB&G-jCmcc(VT>W%vxM zU&gPeZ%Z`e>;_ol`2CtPRr=lqJ_6k1r|;`$?pM^Q;b#1Py&$AmGBRObroZu>z!&iE z;S)U{{VHy{(G*#+h?-|x`aaiN$yp{_=zmu94L$P7lJWNP;MZ+gFRxNp!U?~coS^KU3T(2M6N_T-1VI5+9JXHI}o)}A!}RSA?VZl zmEr!xQ)W+8c{j+jvTS$jic{bvpdtHb9?n-}p4AG^2_kw(-y`%?o}^^&a?%v>6L(Oh z$)0bb2g)0fNjCO^R}-8cyi4vV=?fh}CPR9`mg)HEx$&I38Vg#DzgwrDI;IQ>F4I4R zyv%h9-4OhJ-R1kH0R-n z^Tu<Q~YP7hbktXP(CE+XQx$$QW$@KxsGSeiMm)m zm#>FEenDm}P%l&BqewBT$Jt}2$ zh|J2YN=~Cs%FG-wdJrP3yvh3O$r*eje46pA_19x?yYa!w+wt!!2lT)BeSGkQ8O$In zfr0b9OeM{zok~zDEH=-PU@+ei^@T<+f3wt6*@8E6L`0MrEBc|wb>JSmZ(V%@tuwwC znky=G%<*CMSRGCKQq_$1O%ezS_r$Y?@?fb zp*sU!@0EA~tbnG;s@B+^*7zma6VY342)`_zE=_vLBW2mPJCW5|Q6B8fzO9?=3flm5(?v)($r+0NkG6Dfob zPp_zt(u$fzByXq6Bj?vEatzL-u5QN}5!puYEZ5`nC;Q;+!k@3l2Uun4rFZfvI1!5O z@9hIX;$PWh8-Iv?JX3ExLAAm!udvMl&- z*iLqK2cJr7so&ufc-`Z_y4q|@-FmqLcAsuu&Np1+9pg=KJZ(2jXJ)TKk0i&w;#zfkJISBXuSEl-u#{4>Qa%pcq{n*vhR9R{qC54m*sbg zIj_@F^BiJs$u5kqm*u0nFE(nX*h;KdqJC@*afCdy37*1`~jv~nGhRKNZ$hdGoEm+Rq^kR@ZpJfKsoHU z^TfKJKBNEYTJlNe()ZTX^QZpaZrC-CeP>uqRjqBlsZ^?e? zI?@HYrt}*AfGm|X84|4DD&xc+gpb7)f2B{pESzT4TssC(*R^X-2)0;POuOqh#^(lG z^q$$~`+C2w>w3R#zQZP5a{|7y=h$O79_pg1?}=wV^Q=5NDN%#cIse zx<-F$_TK(ePAK)SnqzT2y&IoV<+sny-O+7JxrS?DNA@-7W1W*KubG{8v8q+oe|2Bw zqs1!*vj?|x2St8ssnzdNYA?M<;l1upci3@NJ+SXn3A`bjhEyi;dEGh=g)}E@+&{be}Cj|*p1KudU_)I5AZ}diMhHvT;pnjp67SJjt@58 z;%~g9BkOBUsksW%AK-|cD$lJrl}_|L-{@~thNor6zKOX-mpOcd^hXuD;He8EI;1Y( zM^2mBj=Wh)Wa?>2jS#S1evh>>qXEf$0#jlIE5j4l%%<1$(5usB!MS$piggWc_bEGg z53`)`<@`jxwpiKYSN?gx$YyrZsotKwi%u%W7Ph2EbdO+Qm6N3BicXMarLpW(aw6-K zAMylNkBC^^=tz1CJwpyu0;$D|fz$bg_**%9q{x`y!n6$huyb*dSjDme?^q>A-dIJt z```=8+Lg&M(I3v4Y~>q+y_4T1s~)|jxo_mOm35V$7x&lxMQ&JFvOF)XLRrrb&v8{*0K8(a-OdCyjFAg+GDuI(l&U_RBwBliuCAJ>#RP#Z zQLSTrEZrM9XlWeti{yEW+XeOzES*F}c(S|6X(v}_>+Joqp8jxpws8pRieLH^+z@Vo zG+MiEnJ{aasm~<&h#t6^&#K?48jzKXTq&!@^w^~(OI3Yivf_@rFSqXS9QRqH^3CS? z_i19T5OsPACKpct#O(afC7;A{=vWUS1W8H;!@!c-Ciq$2+ulsy=6!|#De zjNh%i5*p&CeM}FqN<~X$gsrz+ypG;PZ>QDQ-?b`_zajn%@uaeEmiE*sWo2A7s8tKU z)g2$rX&mo%6_>hl*>6dq>O8u&x2@3cQ<|TA!#9tULDhAhU2B@q1I*5*+L_OL56F6{ zp3P6!{;u!obM^c1hp^#VF=7a1-J?dOaEtP9rE^Qss)N<+YumTt`6QFsQOpP|(+azL zEG^|-N|&9H^(QtnJP3EWxAc}iR+LdP&Y#Vf+f1)5e*lb^cyjE|f) z><1WskG!Tn@OP4VAKDUh1x?x4BKS1nGuVRC$I>|i_)%qdr3sn&8D~FYwW5pduVtSw zFavQn^{v9b3@%3Kiz1<1%lf1LoZeO(!}=M#taqW+SZ!>>bf;NSJZg1O2+Le7T(CN> zyl-jobRU>B+RNgLw3RE90S`h(xkqJ-DNgWpus^(~VoUTuCx!B6>>24z^NcV47d=Y9 z2pF$0?Hs$w0_D|wEpv3{!V;#KR-rF(VC%F|+x!*YggR>pJvM)Aq`9g-fXeSH6f zj&Z^Nqf^_{nV)`eLSnwz|D#+gC$S@sj*g@1QJGbe@*eQ@{WSVr_332wdRzP2`d=%d zx4Q1)uV#;5n^*GqXNu?2e8v)s{OLZHtd8=xAZN-OX=RJ1D;ly~M}Mh7Nwzmf|58`q_U%?^vk?={mp=Hhtu z!q21YC%y{xs?O|)f3EDBRx0XFc_tz%G<9mp$a5U%=f>bHyTgC!n?+0$K4If!hjxoM z<4(>%dIgmA%sRe){qinY8+CLf2TbmVztatno@V5|sN^?3q{zpd1iU76x-o`=u$$vG zK6O@|vu_N@90hAI=9W)hOq91~71;q}yqpEiut2gE2h#C(KlOl66F)b*D(1%t=+4`c z`9;s{5=rHKy_=FlMMgxQvQ)gW7zLMp!swFs*pu@l^d0G+kat2o!j)^9*;8_c+ z9_pg-#@PvR5S)$IbtCi2+Kbb~&%_VP-b=p0K-B$#tnN~D-}PUSqVeUWa3mQno8bCeG~a7%F+ve{5!thA3kwf&A;1f>srz+@`{*q6Idl2 zxIN@l&-RblZKC6t87|M4Dg>T%urmr`tqT)Iypi5X$?T9O-6>Ew^!al5BbIMeQBxX0r2A;ROC~}I_ zTaXn3^`axNqFQ%fjMJK{Os5vEQ97%j0i$|CoC2~VYsbhW3<`0P%!BYr^Ns~WqsTzj z)jp#z9NvgL?&xg#Zv6Y2Seie9IbXxhR5bmi zUhf+@e)0YlHLL%Wdfa6{>-5OQO9%6HbgmBJng$*?uyl@CHs{LnsGAojjGm>;SbsHo zG2wqvn<{TC_Z)fjDr*+&3s@WK*Z`VBeZfo|A*8d+B*i0A6f2ey`>vV9b4&NI_khnD zXVQBpUP<>fFL+yVPt+ah1@-9k8ZMfU&r7T6=a1v_<*LDqv}jM!A?TQeJ&s)8Xm=&+ zkkMwb|EZP3FG-|UIz{;8t@4vv(Ws4Q#fYkepzFzRmhghtGy_(Ki6feit zX!L1%Pxk5~c)af3@Ee_3Vf3NNqUP7Dq$;bn0-RapB&C}!Ih#Hcd{0q$!6|0wAI&IH zw~c2$5yJfsiL8RHY5hZNfz?3woIm}ei&eC;NAAop=#@$C`_>kg`x>d|Im3443LtCj zxB5~d{WVXlj3bP2z&;-FL+QMT?)V0W39lY2Otu}X3;N5w zWktQJ&I*JFuP9%2it0@uMy2*nb;t8fGFn0^gw2LMMMLdQ|Be(MQrmYbTWZ8fPZkjm zm^)YEJcG5duvGGB)f^&S+9~X*G+h`MO5#H&2nR&RV0#h>Xy7Vyp2# z_JK9USB5Q}du60?E2}TGmT*Nb##+D9$yIqJvTO2ml&M7GRVy%uS47;;9>928?|ngZ zG1_xy`vnY5bx`ZRpB+G`J(Jw%@8QK_p^cEaDx&BRRu<1|HyA%+U1R5qw5t!X7-5M3 z8yzjV>x%rc%CeN?3qtEfk1_l#`{&p!t%|h;R%E7peckuHRbvV@Mu$m>PS*E~o^aMm zd>PTn<(mCU`EXbz#Td8KPvrf@nmt5YwaGfjApHtl)#taB)=d52YX-8a6G65Hxs+FH zJr#Hc*%$X9+=K&(eK@5vT=d7r3(^YNi`IK^?yFykCR7g!o`&<&_xz{M;1&y$K9=_+ zJW)xZ`~OMm@$2hnSV`eV#*e)>GslMo9}ok_tK_~QaDlleGfU)WY{wiz&L~f*oDj^* z?35rj_6KxOa*Ww$ogVy3KcC)4CnWJLEL`+b@~N{Nhyx`pZT(-q3)}mS6U~%KEVF9O zG-1sQwYqm@jZ~e?8`t$qOA>30ZCB?+uXRJdEJNzZC-2kwd!Al(3Fr!A1%Z&pl%Tvf z`rvALme)v(L3K`4-MPj%aH)tlk@QlFB$Pc@EU}F8=E5T^%jgu3nJ>-aA0|1szq9(Rlz&fc5AHE=ZGQASwD=Z1sH2)&! zTUgV<@kg_;?k_>aB;~J1|49V~`#$}oNCl0lYFE{g!qy(`K8eh{n38bLdHT@#fkXQ2 z5-~_SN%!E(p;NGY$bvN+zb`tA&szV8Nug`%vvhPOLXB%3kB=R5hz+tcer7~%2W@K< zPdR1YPe>lUPgw!ZNYnzsDl(^tH!28LMK1Fj@UX%H=FEtKXqnyIBoHn0!QH%k7N_5- zwsXBgoJB<^MFWzvDVl9Z)w#-KSq8IDrs95cQf~hGdSXfCBwF{p>$K$_9(P>xLJWVR z>|@(MbKZDnX~G9*nlqy~Dsa0zPhw7!340~JR$;JmzQiS9#U>XzyJM|bdn0@@Bve+Z ztW=f4v?b+x`V9N7?04vZ{>-O-2X_ETkM0}r$aVVS#9*g%&+weZvK0%UdP>@jiF(<{ zk5!e2tYd##t1ZymMCQBh17WfSRG|&_7ZcJeoBlQISNigVAWayx-7uTh}|%Yj}u~U8TtUvEh|B^vn87jQbt@U zUNP%d8L~bBD}@KhmFe}XwT6iXi-L2_s?5M_!3GOsCiQ--Oyd?PjwC}xy%9BMG50|H z02puf@dtKCpDQcud~x)}Ma9aW-4*pT2ocO5kkM%ON7*A|w41%qL%9)Cl>yV{U|e@!%GR zlomd6ky6!{m*3e24f@e7tl%hfny|KRU8`z~hBmAE3T+vD*xlt{et(a8flR@=-%{;*^QY|39g1?0y5E2?Qs@KQ@D%$VxLckve#3Hf*Ekenbr@KDty&ORQ@YvuKr=)?UrHG-Ao4Uwm| zJH)i1CmcU^y$-3Q0WFhECD*|}pMZ?9=g#~{PKyjGYbI$BA5-U0xu4o$&l>Q@=@0oP zC4-uNJ#|O#{2d`_uno#S>1mDM!}_P=4o{t38lqODFroS$h}0#m>xo^7!*w`OATFw& zJBB$W|KGW&+|%$ca0MF?%&LL>fA|oCs~&RjZNQWz9SZy2zr@|0v35Pv>S zh3=Oa0;?~}S5RK#e*XE9zf;j2^-lSHWHdgn9T$JVygZ%94s#U)QctMvjUc9NN*eo< z3|674WK-1_HuJ}L)9!nn7D`WjU>S*yt8>+oYu(2m>E19~jV`ZrT?k7#D(I=%SmQ(I zdx~XqWpIgTc?Nl5J{9gTdZOz}Zi3^I_aEw^`rJnDlx4@WcBKH;P)}K`NI}fdPWqJh ziJF1fuen#nKKked-iFpRSI108{hS_78GRR9y=n(ehYt8#{aXuG6-Y0+J04rjQ#f0vQB?ZPsuT8k4^v+^Yi zu(b4se0tpZ|7;g4_=i!%vq7G{ted1&m6(by+~>o0_GvIDgUq*))Gy$wpmD@;fz>+; zs%poQ-OhdZCFK-qoY%aCxNh);qKAchQ~V9xbK@);c4m`4#2Y_xV#O=q z?SgAC`H4*5Gq0SZq|r0_XPyM3C%>}p!*7xE^S25E_iv+@=yY&xWJXs; zMu;1zMdXa-oyG^g#yxXO&+u^biKaj4XH{8{jeFaEPhR1zKCj-0;un|C$ItpaGl{x* zZ}QAP>$&N+u_pF@S%vtSYdHoioWmm!;esE_!i&{UuXMhbbw(9Uau+<|dr?2wpE>g~ zIy60Pw=9l0;*yQDKEqxkO-77Zl@9u6_-X1Hi7Z9FSSJYlMyM83JI3nD=t-=aFwA2kDTohYtV3xfX>1E9H;M^qlUefHYFGK6P_sQghN?g|)aT4Sc zhV~3!5`<*)t@ouz4==#wv=@;xNc`7NrA#(Y*Sg?^#v)Up?-X88_N(WO!<$ydO1UDR zl*opy{f>{Xv%Nd{iRy`v4Km8~tT?ir(e;de;bE()OUSFf|1;H5x5_K3C))HEI@(2k zF?K?A$eN?Bor)~3J!Hvu%1DVN%)~dBEc&@!xChBGnjx;#t>@%tW)<9$Km3XMu&c4| z=uWJ~@=2@Mm-t(p2x=kFT(NhG^NTN5vZKli=37K{-|#Jhp%?W-dSlsbM@;oOes+%P z7e9xmyZiZyJo@{pKY{mT`5=;3v>$m2tq=%`B7T0SX+JsPQE$My>5lSbhGz++BF^1P zV)q5Dt_)V9sUeOlE7l}9y%4Ioc^b!N+^6bh_J&jQ;F{{d*Cafj+$cRh9g9}Ea&q{ikUx}q<&&h)0Ka#Q6ULnC@oA|r` zR%KOIO|@#)Pk3I|D{zZ6d7fDnWa#KbP_isTrbT>*oKcA?B6_@7`$mVZ%v^<+VjZeG z#MS$WFQH$hdEokuKE*T`tq6MoUpV50^iu0*gLxNPH#Fp9ab?ZMMy!xtd&HpHL;I1} z^dFVndR5px`TLTal1nTx%Hk>>QQ38DKjj!1u(hahUQFR}f3YshVTmfAv7Rj!^c1Ui zmY(SmoB~N%&!~vc{i05(k4a+qd>vQSc6f($3!;KDbj38zm-nN4zc~!6ER`^9Gq4%b!$iD ze9TjfE-dTn5=s$iil&>Rxg#1nUh@dSqYy_(ShR8|5OD8(!x5^!>T2gi(bZLvh zLkbH{BmPbF6GV^F8|cG?+)-$j&hpWZRvwc50?CMbolWMdgp;oZn<@ApP1Ef$ADa&S zB{MIa%9w@yh=`EIo^@}*Lzo(8?l;|@w_wfI2SU=#;?=!mN6N{Nx2;YhTFvx5#3#h# z5niSkI&*{0<3>eglju0duvmpB3?%*Dd;WZKk7O|C6yK0Y6*N+vezOZg!5!@h4NplK}LBc~>)L>anD@z->qnb&r(U-c4k#*tZXcotLt;{KJu9XlUeFtP+|JDlP z6`LVXl?53Ktxcek&db6iQRSn~S;6kF>53XPhoSEeeJ6dd#PV3SSbsmUYLjJ&ocqZq zkLw}f%(5e2?tMMpR+Lhrn-`9%j+g$`{|@HwEC0S@fU{aKcw*)ezNZ-AVsXf#%kyEi zYVB$ES%{Ozv(Z@+5Bd;Rt$N5Pe|BCpjNjN=Xn_3)HPM@9wBkF}DJ>m#*yBGtLoBDD zW@a{Cl5OSb(Vk~wVd1sHt`WORXP37sCqz3mz>rGDLkOy$Rlfg@d*eLz9rGIG?T6jT zDE#{pj9AAv*aBrCY`I)N>QC4fWTm9?Y-J{;e-CeSruaNPDCY8r8S8j*z6pc2MNPc1 z<1kLuH{yffk!al)o;-ZF%ukgSbbdikPN$y5H`C9Ne~3;#hso`(fwzR?!VKi z5RNnf-8ae;-qN+}*A*F|6MA1M{JE4KX_iDngtNGZOYk&&2eaGb=Z36ZHDoQMzq=NF z!5ljZ6J`}np@{07S6+)W#RG*vZ^7?1@ zbexg0^y8?=Ow0eA`|TtXuR9qqE~uVYj@+8u^v}6O|Ce{h0~>R?=xXt_$WVge)Nh2w z@wt5P`PlE!14yX)S}7)bu!o4~-o@b~5n{QC^AF@F_|Cmn0sr8~O ze7tYy8QTJBJa87})pHHy$-o&oE;iE^v#dLBqLiuu9m55g13L;;RX6kC@&3)#*N(;8fOsJY?A~Peco>`ZcTXH0JPEP{utZiJE zFHm$0Cy=}gngctU-&S`GD(zc!l~D0BG}vwD3B?|UMbX;ko`%PS=Tj;(t%;aFK-2=y z<(`xB7VTP2LrmZ7Jei6C7GzEtFs)ec$>^H0k}WG&@>cGxSR*9mzx7?@1Li1pqU0_U zJ)zQOQ#heerx{lHWFtCVM1_04q2(hud3l#E1`Dsqxx*^W$OgROdn_zbt=3&<9U0S` zICnQ#S@r~Z!yfhd$7WISKh37b54pkGU?`*=37!%g6BXsI`5zgl@G{@SF6T^WGi}W3S!nt=9X6> ztYUi`<$uiDRh;qo{)OzwcR&L#psRzG*9qo?$#2mq&K)K8IH&i`r7>kof9EwdZp4m| zQtgj>OVBTtzD}@W>iP}t)FY}wsHv{8H&o77+e__v=dBJUevms(bS0!YG_Ycd9rd=M z2I-R1B~6K55=vtJv$^TqGrsfhv$?vSE4$D^iANSLigzUVgj$oxo(W^+yzpsaXmzhz z<_hkbl=&okBHanendbvk#8RJ}(yWG0)Vg2{ISu$R975+i-crcRzkQylJI<9not%>c zkD62YHB9%}P+!}Kb%rsibc61-M7$l*i{GlS6Vby)&5(ZKKX{d7Mb5-V(tkCXjajvK9#XS}kA1)E%vcbw^bd#! zn(q*C{IYun5D8IX+bX%T&mEoJ%u9?IqiEFP3nWfJv{yASvfS6r#tHujP8jS7{46XK zXo6-IIXco4DHc@EvDkBB8u+=K$>=SgpF3CFU>~11PUNCkE`7hR8-3V^CZC+s5pV0h zBt1G(`qK=!ChCcHZuu;k5l^C3%^P0%Ikw=YW`VCdY?zte%tg4AZgFh^8XT|KZ>4C( zEO#;+T@(qL+~XUMaA_XN^GUwNIP@VQiVqw6)@Abk+M#K;BtiF!=3^sWm2fqeiW zC)z9dr-^vc<O*JmGj@c0)cMg#RT>vM*i3x);gJa{MrZIwY7S>reZpt0VIVC@MG6c ziQi9Ma_yRFokn>}sW>b}a#NcZ^dEnlE6ZwG#=SsV+i7f}xrf9OY7pBYThRfCr0!WcWJ)=XMMpYpe? zktEFvdpY@=s-LH2tyBByNvPjRKa!_d)Ixs@v`}bTy{VWjs`QN*U(5!-ZR@0CD|Swg zELpapW)?fzz6M=gAW;fAzcJqdUBj@wa{uiDTs|gEy`53N}PNMph>P+u2 z7na|a>6tJO+`#~3N!{>@R3=k7@tTfJVXTJ#Y&mMMfb_^zCCU^!Vui3l=up@c zdKX7ZidC^)XhPOx&LrII>ERlqTEDAGCUxWI+`zcaDGO9RyL$c7)0k^?9Ncn8taT;0 zTaohj1&Nu4bT+>stye?in%$oM;OrTDtsFGIjL;EIo-IzMt})yK#U|$Ty$po0b72S=q{{i1M1}C#k4`zqR{B~91?`%H@ zt9#Ch`OM)va5ChnN}3n;iV9eALAs{0^K|0@vwnP^v`g~k)X`NZ(S9B?lVDielk+q{ zX7AR&jh`*;k6w%WBrJot;&z)^+Mx}>zshPHk;7C62K51zr0#5Bv7WdJs^i%RI}nDD z(vvx*&i5)zy!6{(m#QkqcNK>t-=4j?UA?3qb6K^FfXLREJujVa6r^=!-&vi79jBvy{@ z=&VSUuIbXnj0%<1$W3EOd|rMQxrb5V2maYgMZPg|Qo3&Md3AnB%>>nZ zV4<-vSj#|Vg%QQ9_~FwXWye&lj8V$^mRdK|0cy+|jJ;dfgA0dl6S^ zKU~?mdQPUjOlvJ!(a4+-qw4get}iY$#*re&MdbTphVB`Ei3@_s z2scDsZwnXmR6l#~dKP8pSBIa59XDq*I=h9pi?oNQS|j7C+j~E<#0c3xxaxuK9`eM5 z`_TE3OiA_}EEun2!oZ%=OV(;PdDh4XV__K*tYkJSOenkOQr zh?cNC7vcZe;t37MYC4x_?k@F+&&R)7GVM2x_n2|}oc^-ib*bc(SBkHpp0R7HXBHN8 zQY$C^Fe++$O%H>G>-&1I6xC>^!RYn$ejF!k7)hbI#okh_DHS}hn$AWuLr5<`FL1`IH@riEw}NH1LPp z)3gjt@f`v~7$BSAn)VT-K`XAw-l}>+mOQwE)Xb9A$$7^$!d_%@(nZQ>xgw)H*UFf& zua*N_!5LA+5go8fPm1upB386EdvfZzqn!lC(`yg#C4#wHkhjLvj1GIh=3YtPqrE1s zjDn+re=z0et;_KXd+dWfmh}za)$yHYQYUovx7c6bT+mpBe}?r;If1<*zL8fzxdWtBmbcf2&2B%izNy_L zn+^Y|mOEBkGDDp*?2PVYbWD!AJ41eCYRg<NN=So_QHD!9liwDDR$KbcL5>p=j@JXUa3^TH+6MSDXHT&&0 z)~l^%F!Z_W21~7>o-C}?y`R$FX|+g^FNi#@eU8lgJg6m=rK(mjd_K^WtWk@fGY^~* zbMdx%f6&kEduxZHqZd09efKzp;v5Edl4}t=E-iWbO?d(QtbO1~AL!x7&M;V?qNdQk<_}WCDj%*|F3xUD?!j+NSu?YjIDtL{X*=}xI$?VL zIxnTS9i68y+0%(E@5Obgmp-@7@wDHJcCvqcv2x5P&>im09~@}K2cLgQ)nB}tlA zGCdzo1r~DjM&4ISte7xYt8mdQ8xQO_Gb`br`)^TwqwiA#-+w={B>gJF#BblLGFr5& zR)?GjJqz#&+q!fJDJZ48B(iSq#^ef>`4$lrbvp9NsfO)sf}`PcB96Ygp=nOtqpeco zt<`8HJo3iQu0BF=|9&Cj-lKa`Wm2j}mS?!#gtz3kS$JMsa>-fY%F}t!c7xSpK7uT~ zV_xQU;}a4aMm>hQb!w)#&W~e$Tet8PtM#+jVNNNZ(;AkTujq}pVo!};vTu8BPs)=P zZB%>6w3xK{7`nIOOFgw_WS_LSpX`o)mXCk0n#k$vrG480dQI@R;Gyg<|6YgmgsxKtdOc~q*K*#qjc0S`@+7lzU9n@W?aw<$<2eA)^U)n5sQu|E9=ZVEA@!1 z8INjle_=~!pAz1bxvKOYVEQ*U{*rDB z;=C{z-xPmoXvd4x=J{lLi)@3D=z6|c*V2!y{tl#@$t?P8?f-Gc84V9BP8zkv%tJxP zXS{)~S@2IhPL{{g)H6Tk3m2GT|4|Wev2X)SpU6YoD|q>``C2!TO`qRr?ve59#bVp+o29 ze}|rkH^+7q2G+t`aZM_HqfWJILvK% zU)0xk`d_YMx*y+ruYEp<8OW5CZjS43kYXXDd(-FQ2x_XPD|Kxr#$WN8(72w+8nf$d z!SZz2mNRE`ce-a?!Rmm>5O)YgA4MkzA|h}o{8U{l&uuwf`hIqU2wfxTP_&IMcx+~) zuEokz@oQEOlVx1pWrPHLK$>fEwROOoXk9M2demq|RZ~<}h5ZiA#Z1%bOEl=o($Bq( zExNvVzs#6X4+HfcwXKj$VTZ*riQWe40IyYzyk*_57R;ijcyRs59zpx*v#Rhc;dd`A9jyFMyGUpFch3%Ify4 zjvi4No07k*nTO&is}5P-2(pAV<&y(`p}W9Rb9m;Cda7TH`arWMr`J9S&C@D*4Uqnv z>g33d4Es5KZ^fRA(^OYT_KJPK?6e~I0O(ff+fpo3%7VSwuT0q?TfsS`Ph4H0-0z-+ zmHZ?6@3hq-6Q(|cr6Ov^|^?4oh-B}dr!?$ zE>Q6zS9y&VR5!-OHIF!vN#Ds!u;jXbbz|_)rHRs`6()2i`J#vML1shZ{6|HPv{>1d z9dg@m{H*BaA`^6a0g(Zb(>vS{V=5EKHJx1x_HE@iATJ?H@i|4uorDG2kb2kln#q0# zSqD;c2PtvFU9MK(atI%C#bnI|_Ux;2B0#bh*;k5cK`yH6x4 zpGR~Fy0ksg%F61&K{40FQMmbOym>r(RB@DZFH225T5uQdl>4&xWcxyW3svUi4c&)$ zp|*o_;}cN%WRo(bb-sO${&)AB;1M%N0F;bb3Y_=odZ5k*-08w7{Lw-`0cwm##~Z8| zxg4f1*fNGse?*2>e*2Nm@W7uxnx6SupWVHmYrEURXS`*79$nF{GkLZRS}ML+o4EB-VUX@xWUu#iD*}zyd2NeOQY+k8(CK82F0w(N~%jE9$bg0b%wux zK@~3(pwW1R3mV8N*$kSB3TdU403w-_OddXiY+`QJ2YiJ&NE8Y zT}9J6!2u$F3+oAlGptVZD0Vym0)D5ts;T?qcNg1wlj6t0wCB6Kp{?judyunj%oRa~ z=*UanmwCzDtG$&cLx7&c%a|MznV({FzW6iNsCZp5=Qu2~pIM$59=d8w&eo`2wyw1f zFPbIt!XPE3!=dI4XRT+$Z_y^L1AD)3d*o-^cN^aOVl>}6rB^i-(PZ8(ut>9Q`d@A% zGrK~^yFVRk>0C9-tGSauaUKNki~nZT8w zL05PxYyZ@pC*0no^GlV(=6mci^K^csk0CjOZZd3pQ!#M7;^kyS|Dt#{c9e{J)G=Fk zC}ZMo&0X}WK5(r&f9|XI#CHw8clCVP%auE_Rb988QHeG4oO=(|X<0LB0`qNo|N6Gh zH_x4ijF~3QKb+CAK|Y7QX-=f}R=iUAmPmZ%2L(Fg9`;$0f5eJC<8#M!a|t=TkRH-C z;74%sk%7|lt3HXRx_+?Vq~0AMr5nU;Ys3JX*-Ok(EUTe4_UGJt2(72yQ43PpuN>z; zdP`Kl!8+w(jd7Xot~XUL0dNOa;4_ifttPqzTO-~=Z_mEYvfoUTIpn$`C-NbZ`zkNY z+O+)q*Z9Ejo5xSTqEqWisUHFQJ`qSHuXe*TchC$*?-8{KAgMiC)~;desQtj}`T8_1 zCGp=^v86Nx#HTd0HPsAyYtJna4*UTQ!6&2r>=4>B7!zHW6?@)h?F>C+WVD?qP61aH zU$@s{tzR7yGd^58MaL$S4ra~8(yPhu>o6`?!m>TE+h79j@{gBMWYo8=sxip3(9dhO_a3kDCO>hn5Rzq_Z3aEDbm)dSM^T-_(D z)_Fw({i1t^jcQX{G^42gp4r%(o;GhI^$@WN?68q-ixOqW}s>1|Bk)eLso)K_jg%{X1mcULyq5~1?w}F zRjV;;M)<-&QUfcFD7e!;vgcxMgWGTPOuo`LMAvvde(PFSq8y!VX|KXvdjtjB8wK+| zaAws-44)%BB>k>sgtXE(-~(sM5Aj6!WK2l8o9vf(js9=?S@G8?7DslVL{afGQ54at z(6RD+rm^s|et*V(-Lz|w&rycmxg243V3PGd{7tN!hxr_J3zZ*wB`pbp3&-A-e@y(F zT(T-3mBnLaBEznVYk279s0Z7c{_AL6#VD*a_9EOnO(kT;2*Am1l_0gEJlrJ?lH|hySn0NqjNl!y<<}ur038 z_nxs@{vLcX-pHPpy7uyK-!HfZwF5((jAP#TFl-h7rDoAyR9I;I8dfD%No+blWv)rp z-$)0%5;B$4=us;mJU}Qk(I$KRrB+~is&e+Q4x|OiDsjaSu|QMG#*kyu6KSG@u9Nre zV?9^Tvjdk{GGqWQON&{0bi_I#-cGChXb+@Ek(74Y-BBcDz?z6`201Gbh7;@O4uN_! ziha!EwX_w@r#;mwG)*ec=c%SClsBV#wy^9odu#t;10N7;b)?U$=eXrdzM=MTc)st! zlfo}e-<2+W(dCO%LRC)4RUm&AXD|yK8Ny%Dd_(zDs`1qR&#lKJ2Gnf0QbXL`nHtq& zV?^>;Gqzi%Dmz$;Ky}Lz(^T`dE7Ij?X~($~CkafinvqH_nW&C{5^YWj-g| zH7l{ROR}`2R*1Zg>pE0>rs^m21*DDK9m74Oe9rrx^D#>{@SieeBhEfL?Hn8kjRgPn zEq$T2$u{E^!Q9`fIEX-WOdWk77dAQ}v!u zw|Df$8W}yYx_ru{dxVraqNRH+EV}55#6HcRs#m~_82qo0CUAPZqkaiu|n_)<&9Hcn4XN~EqKQEj6x^nzGc<4;Xwmg&H5q<#uDes2+>UnFewGiGUW{i4=bFX-vY&;U(ObNtu_o8l z4^Yh*5?b%re17ZU;#t|!(UoIK5-Y4HJ3A4Zo`DsB=!C|CL37;t&K4Q<>b4kPUbf$s z3W3n3cvMtUm)w?Ci43K^DD8l}r9+sTimtJSWuI*odtb`7y!X$7HzeFpJ{viN+A+!3 zh;tAzB>>F6y_16=2kk_mIQcCu>oTiBO zCBOJrVe20AO^rop&grhEHw+M^_7q(|aO^St>%~0L+n7^UXIqDr!(Yr(1EP68ezz(q zE?H3ON?}H5%GSwxW;XF~hJ8clXf&*refuFh;!N|2?G2T;ta6F}8k`a8nz8-u1RO2P zKUGGb3004;I_yBWWDh4Vp#2Jy_PcGqrr`0kliB#zSduJ@_C=o3&iI-sJG}X0NEG_I z`uG(~@N_VIg5;!gov`6$uIb@Ka}NXg+U0~$t%nvguB7|$M#2}+?dk|&Y!Vcm-BA?< zy=dBJj0N-D&41xdTlVvl|C!XT%)6ha>vY;fEVQMWr?MCD`A_dP6g)OjCWU5y&CI(7VB>LxnM@I zC6*d28QOUQV#1{3eO$))g{~HoJ;t?;A6) z&FG1_I$hM(Z(2CW&F8B=dfd6hX?RyRRA1bxx^@>K7ur$o8SY?tl*^Xl(lNn5kGIb%&E~|rnWsmY~B86Tl z)h0xxHKzkR_M9HEeo{p@AXWE+D$0A$Q`6sFea-4c#(ciU%C3I0%y2(uDdJPWSAp3( zeF;khCMeSeH9c7OQ;a!7W^^h*S;_uWML7CGw4L1K#IE=~oym7peGg}coIUwBGEt7T zg`26buzH4tCGAE&GWU8;U8?forpL#brNA^*ToTR?u3HUBv z@g3_I(GGl1w4AjB7!BP^%#ihoKwk%ct7*F62R1xO@r9(A-qVTU=&X`+s`U%T^qHRx z4{`Kz`g-~vo;Nv)=?713k`6Z(q19>Lm603aK<+W1s(wj%SwV7Kx7xMIo;VP26z`D! zv#0;Cyy#gwdBKm>Zw%`!J0jXo0rjNyL;VJVf#i;ieE==L}=Eru1K_`-awlC zRCK})PgVAhl~I)}lfglwIBoh&=ouuJSTwby5D&0$X0A88#UbSrEHhSR`1s z@W9eTKp8uFF=R%?yJfA(RB`1K&tU%#`%%UH?^pCU`2+g2V{q4A(aj9)rLOl;2g)91 zY__=n!=7^yV-EGK?<75+)mx9^H1-!kv+;BluT{Mix}H3;I*EHquXBBAscknNRME2X zs^I_PcXI#hoctj<`Nl58(o}!c^fz=ZdqlpwtfDngePe5#Cxz*5o!#{5&^xh?7g~CR z-$=L4vd`?tfu$NRmAi_y-PeA!`RAIStSLK@+wba57V1!rEf4sK{yi&fZ8p$lnVJ34 z7xp~jcRcV!xtX4yF2H+tc<#*>(13xwy&_v)fenDQUNrUGr&6z!^W zZR_8;TXw?(I2Amlvs=}N$f?_j`|FKC#>heR`=3#=STjPxa%DpUdTOw-o6-b>G9fJmP>FAhf1Pf)9Q#%kUxaT#O}!ei_&frofR~qj$|Gv%YUZP1)0~SGu(>t5&sU zv3~5tuYrAc@qqhU6`8Y_Uh`qR!;(5*NfR=Ifb5j^zf@Ywzsnx-*6B5d8&?vKaCq)sH$YqH@GJLrq#%nV7Ih5uImtX40}-(!#E1v~LG~!lT3#b45O=EII3^pzXrLIGy}Fy#ga*c1J`N@+_-;b|%CA+CBc7vYjV^j(9EI zJ4O~q-b`1`Q1&%_GBGil54Y&E>AUH#g&gc5qnaS?IyUzol-|)b9hYjKbt2T6S<@y! zUtB90T0C_D8DA4J_{G}G51yOyU4ON3qS&ScuMBn0VlSH!F;j#paw(}xsQ#%=)Xv2y`w5!h1IZ6R9M>E@d^u* zd{ka6-8qpXbx_0%!-;;DiIz7A>F-aI^_AWuWW{I+H8fh;2k3rk!o*Ih$KrVdU2Y(3 zaF6fl4Xhn4Kh6&oT}#GWkuy(_@!$vcojoO@W)fGG>VhLl${)k@sh|U0*L@_Z$((30 zg*x(gvn@i7!?QM4RBRbOf$ptn>XWc0=@E2Ucsb6uG>usf?uLOr^#qT| zP(9QI-8r_m%e8_da*(+w_5a!fuZfzkI5{0o*YTIJl2HwrePnI%z$8CTKT+3u8Fj*X z19VH3>QT&Mwbi8->5^Kv;6TtX3rk8KY3mv9FvPrv*t7Bl+OJw&&sh{NP(5IUgsY0> zs?Cs0&N)|9)ax^f4^PDtQl9G@wi*OGrvukqVCM6*2deN1zph$KbWQf44V2AU)v{ui zA!JmVPS#br>VRjvAj@}*S6t$R4&QVntiI*4@O8zc2NXA?pQ^uO3lhn2jz+$Chgydf zIuD-`#a(ddtKDbsJl#FW|i7%z&E5CrZ(rktZ{<<-I?3DsR|tQE~^x zf0Msok#?}#wDIgO9**~<_Lf4@3p)PLx<|<-yPF)=3v9#Ioeco3a?C(WqeL~Am#Sd=N9|g?@3nS4Qvec ze^fbX#qi^5HUALFj0ipZp+#OVQEj3E=TF9OukoXo_w5 zo1T^E&T*=+Vq%c-#fmgpnRXzQ;okM9N2_-uIGe1{Jxsf2Oy-@BK5Ve}^zoRj_uo01 z9*z>w zh+blEqo0g)4c711VlB~#@*Dh?>{Q(yVGNUt^@6lhSL1xL`;_9hU95p9$KD&)Iknee zhK=sfPfg&Psy!AKR3^R+P%%lXJZ#vs>OW}>mkb(pd2$CWzTa15h4wrpn?|n5t4KU( z-#;dTX}YXsjJKe+iAr!*I3qbBcvV+uCG@6cc^9rCpw^g$ZN3-XjAPfW~^yU;jBMB?mL^q`1(ATrhLjig4>hG!zZtw?i# z2h=NqD@8uvxZyBs-Q>W(mj@fM|Biq5{T8p^z7rm?PK4@Sv|2?zV|9l!HWz!WVgp2r)vQsg@1dSFHJk1%37)b&@nqB@FR@##>!))Y8(7<5$bd&Z z;74&P^Y8sT;Spio3hS_7>Gp8;$n(fA6kdlLI8qC|)>7j`|w#H|r(+n;r+~1j`6ArpzAp zN%MNkTx(}lB8t*=qXN9Hw&apnc4-Oze>-pF40nQ^>NQ7qVpmfqSduMC^q%?>C&M1A zbV9)z`S;{3Yt@gp)g?!k(|dPz$^I4YdAf^HcRH`uqodNFoE$#a+@$>V(=l;DoL&5>|9}?Z$%F zdB}bp;)R|(`RC?8Bl?t2fK3O*TEE+*e-WpNxbvZ>i>57+*0tHRi@nUr%r^}inzrZ+ z{;QmUtY~mX%sE#yOmweKuC^KNL?|lOuHdVvQd>RtqV~K!LDqWf4n)I?@wV-8)d7oj zh}tvke`UP5|75M`R`gUfQtof(J4O{8*QXPdkTt)x?X_&tXW`WOO!$Or?}l=+9X=s) zviYKZ&XeH&^mD&xmyBzb4h-O`^3xQZklPR4y@=61-*P4`zbUp_MZ`u2ql?7~H}ILe z$vM&0k8rgwjT3Q3>mDrdjf2BZO)-(rnA6whVRqIP>0Ki>#f!U{z{rrNw!qvnHke+! zbcW9Qy!OGrLI-IVB{M`yL}h1WPKzdiWg?%A$aUD6FDCY~zMUJZttf-lsGaXzV3Wnc~IEuNf@TJ^*C6W|U80aZdjmB#p zFl!rje!pm|!Ms`LqO83h$Vyj91C{_2Y8U@r6_aMy;GL-|c(&00!g`1PHj+^$$>24s zj%8r+lm#F(*FSUh#F)NO-y56mYV?AjXL!{%eVt(2OKY*m zB=FzYAM}-Z=9CBr=&m>P4I^%?E36$VIVZ`I(evb*@$>Mz_7(K};DlhkIzwUm$s9V% z@z|MuaF%pVk+{V7@TSivWxB_F-ScJh^b~JJ_rZR{cv7D_Gq=q}1@m=fWk%1W)@aOCx*e#yGpbE0?O=MDPu8up?QGpp)IhVTA`^uh`n&8N!fyE=4NHsX(1>COV#V#7d z%vVOqSW&WN7sv(P_F%x3d`G+}`MQ?q1gjRLT6-zJS8@hi zky86oSO1NtIE(zT@gq8|;*SO2BzwfsX>}L&{Q zu1+esVAhjJc$rM9JLB*Cg?=bllKLFTV{IC@^eZUmcZV4JW|Ez9+@T>>sz+9R63XWm zR=L$EKk)Fn-RP^nggMA7zBR&7u=%pLB@YwPuw%q7mS2p`9zjbZx999fM7b^cuJa3q z>?Z6=c&vRN!RSjP&g(JK|Lv(NYsL6u-mdwA)V~=8Pn5-hiG2?qA4^4df56%QMIwslyTy}6Rj?|ejybMHBR1ZX*)qHQJqK+kwAYzVSd z)OOv3u1M*3L`{zPd&UT6VB_n3gil!fzk-4b6Ns#6Jh41e+vK>WSh9a^eT&y?PpQLs z;5t#iF62{vZ6Ei#lsy-o&3hRy9$)+4AuAuj8CCgH`2)NeQBD1A;yJ~BH~pXWUghoD zd24;ZekLz)3_dgDpz}NVZ6&(V({ttrn#qxN%)v~{*Wcfrg9o4Q;*QSittdIq2BtvG zQ_JcR^L9RKBCBAYdK4Z9I(~Hq_o89*_W9uj52Z0W z_Q~hJC%)uw<-gib(9gxsx46!XM@~`bf+oS%em%P8yp{7DitNohqdT1L75cv-zQbMU zP69dPsIxo*Cp`5V`00{AF57CrTC4bUO0p|(m-G0o%AvY;>%`&}qc`21nW{MBb1=+|lFC0I zvXJEI>|}=8Hnp4rpRZvxU2>12_LuW7zd|z-pPV5}vTo7GYT&)aB2=9>k3&NNARQmHHU#&4E> zvwT+R?Yv*hY`tdHM+>?kx^Fbk+MXF!)!7+GQ}PG!78Mz}u9K&;#}fLo?{e486z(`) zaJlg1il^D0v|LATE7>V`mOMHB%-Zq=JFU#->h}eURNMF5h63Odv2HT!C0aRWw8b}%h$&*$o>;>;tvKjO@Wlmk$P`zjJJ7hxiRu3#* z*p_?>`RPbnR%EC@5V&8x&!Q)T6{fE>5v(lULZ-dge(#v~P;Xl1rCJ|hS$R9*gSNW) z@6IO-4XbC0-i5-5;0lIUq#2Z|83AP^240N+#qraX$HzntiI~LD^C0V=#~C9x*v2E{=BJ67qU2t0_ z)s~L@EGWc%cMbLb)HRed{?`5eC;zO^-=i;%2bov(E;+RXGt$vt_+ zZe>_C(0Kn1?|#tdN0|}>P5KxAKl%!*V?t;^7C?k9nVrxyL%6!^%E|-9xp8ztgTazFt3h_)<}0l6&e3_D;j}QKwGQ?)cSMUZ|?09d*;|a{h4EZHLf>H*F0V=l*fe zI(>&OW;OSu`iN-i#R}j@a){N(RK;bWmkZw-u%jO8`kk$rqZR2?CjLmP@xL-b(^;(C zgM8AV?azFT_Fc7v;dQJ3c%U?c9oRH#UJ)GmFOr||J1=2Si&;5KpEB!8!vkN|b;`s! zt?UiawfT!;RtjfAJ~##1)3{6LQNkb0>Wb=Z3?i3D@BpufafEiA0Vkk5UGp1^q}vP9 zn{-`Z7yB9ti!NDwpW$=!)Rn1&ISb!C{F!=MInDCMKtRADyX!_yE3xGx^HNv=>sLiE zb1Vs0YW+aX)5*Tk>|vRt)nBpeFIC=_b4iyK<$i>k8Ksit)V>Y7B9uI2%Oh3XVDeI& zRI+AK&6&Qzle@{O(VYLESu`*rpX-t@((K{D{?zC3m+V0w-tEv6EpKCK%r2`i?4F2w zHbg_jkkVP<#7=b3t<#Q)(-O8Zii zTWSzH6bDVn3doZz}^|WLm z;hbepFnGZ0RL~5&EWz9~3vSbuPwU_V@KVof_YM&C^7-uIaY`qqTXp?Jud9ZUekPq= ze?NL*KY-ps;wE?2@+a&SUY@KT*`4gzM%0LJ!m1y`?y&E{Sv@g_B)aUY*UXVD25*y} z)ZOsw=}X5+J=tLTp1l}W%m1AjO-C-!BKPXf1_xNv)-*4SYmTYFwk-~egqIVwht9HL zomPYmr$$Sr+#R`q;1eIRg6caM72(#@c)yxeLOzOkP|VKZcNLM*@jLn%%Eyf!Hkm!w z?w}L!#Z}uVZ>Z>^J@N(oTXp*2>K}qRr+L7(azngNu_J6(tea3RdJ({~RUL2ZSI*P~ zb;T(w2X_C?(ob(|{0MWwx>bM`Uu)SS28r)~(|1bsmRPa$xp_E^VWIL5A7jQ`3VJF| z4>&2wU1##d#Rl(Pxujjstc=E0XGG>AvdQk&Tkh7Po_5YWFH9%|Z?7JLt}S{>S7-GC zq_@O}?O0#rqGi8^=Q*Qh?O(k0-WqHfs_DsDj9y0mczi#7G1#Z;M`Ol@Aqm%As3B9& z6D%tzFc^U{EN9fP8BMVa$_7ytF-4x~)S7Fjb0idN8DE|p>#4O)%(YTwBUNy8%g0}f z-d>Ug_{P%BnH}+!B_pbK(;F5|8L{6D5)L~rWI{|Bcqe4dk!)2;$(r3cTZd;B6_cYy z%vqIn^uSRy1%C@9ja-Cnjx=PjtW@`Q!ZoA%!*$1rBf0)LbKP*`eLp>?`;aVHJ>-B~ z;`zXiF6eDef_i*JwHtXdorp*7)a&DnY{8I*y^z_9HFtLQz9)7h$v46&D;?dHh}6Vg zGG^_WIC(n2lGZgnTyGP(@6O7)-uZcDV+pHh)?MBFPbR86E*g)C9i`%t+>r8Z>hW88 z0O<~~pXCmCCAm_8dWaFJm6PS`I(yh|1mfn7JYAK`oa~_{e`ybOc6E=?zQ@U$)wN2e z5Az%8!mi4!!p^5VxL3~dD;|pU|HIkV6W*1|En8DD#JgI1^`r`o)FeqULS#kD9NbP9 zfE5SR>1X^dSZ`(0GreX%Ml=$PTD&25_SK%*Z!g!XtJuxdRis+FG{kE5D{#IoO)47@ zF~h<?G=W_qtdj?HGrGglgwR=u7W`vUN zq^++~SLRkuFV~fKQZ{rDXDCL{%J5&|v(bg2#Upy6y2^EOnD#&V(Tcb1X<^a(uvPYz z%KZtoWknXS&d&pbv~H5dWA55WJ6!lIae6t8)TV(E1*0AeHn;<^J}`=EZ$amQjpwvC zkWDRqe%A$4T8gu$PV4oq{2Pn*$V#4(OR5u@m3fB|IbYfxylD*(__h3JTYLaEEosinTwhUJB8y`Cjdb7};Vq@>9PXJc3tD?@>ak!VM ziHY~LdqbISJ5_cCTT-|uKB=(j)IXqfHe#>v<*=_uoqqP)606^>>S>x^{>x*TEcm8v ze#nkAqt?3ja;UzPOw94kjh+bM81FjbDf0>9Euy#FV|yN!k84yvKdhsWm)Fs~xt@Km z7J1~svlA7wv&5GlRb`{TVdG-E+-Ym{d#!oU^bSS-y1%2fe4f4W!AA5_ znzPH|6?4w$aMJtA3$ureeA@z6y^d#WPed8?ODeD;dQGoM*9sQfUuQJJ&UaPyuCT__ zxwov)8pC(=?&^E;316(eKqBPjmR_Ru z&i)psfV0zkBxc<32E}KupGOw%VZ!NYPsYbt-#2siyn4)O4|Hb1o}_W94~iWS0}xyR zexN(|``?{MYhS+jb9#F9Lh$sE&c{&Pr5 z{YK^rg$L1lpk=;u}9pU9}SR{A3E zlW!8g3(xw!PXHQ``6Kpj$26&wtxP$Y$zje4YTq+23cDBo%TKCW6YDy;m?iFH(rfjWhLnq zGT8OpExIGS$H*E=^Qk8}SCND?pUOQah@9a*(3easRXqWp3%yaKH!LI7%~(oTuek18 zc+U-Sxvd+k8=N#gE00CJgNXlyCG6k>DB`~8cxtSSefe5nwD3&3qhGS?U;penN$4w0 zEw&tX&o|6Ds~2BPri9<(?)??noM%U?{%IsV;ll-EX<)I?-ovh4WWK}?@mXsu*YIWs zd|t}{T=5MdE@v^XgcUB{t>32d#((qm>_e`8hp&EV<_w*J{H70c)AB2HZON<|KI|M= zSFJZM)#mbVyV-V3V*L%tN$v;|e21nZUl%xwpSbf@MkPY+&`lyGraK0ss>KP^DWHKY zJ9?t(PfUJNFG+KG8fMdB0cpLWP5{~5bWK2a-(j{rpUwD%d`q3Ko(q+g1ET}{K>aOF z)h+CLMI?H%e~#Xahn4Qv;YSP2?qc$WOjN(2%X+{|?l2iX(bsyk`Vdwno>+U`?|ZxE zUvk63k|vu%*KqYu$dbJzp>_v>d2s3u#&7(N|7Y`$!Ml(VAYWkCLU}_z4U+K$UcI>` zV%Wn%=#daS)zhc+v~E}8JNWS_JAvuB=5V}2$85jh)6@2E;W?%IYu(QSWKX-mp3&C~ z?1>mI=3UGFD_^~1y>L2tjr}CH1KXKR&)_w|Dk;xGJi@b+Gc<;Pr8}ly(J}nia-u-e z^qrV$x~{JAAT0QVnmI^rCHj1*%EIh9CL~~m=#U<7%GK3F07>HaCo6cm8bR zSVg*Q(|dBh{84Wb8BHRa+b0oMv@@$WHpe)9eHhm)uhRs z`eHwY4xeP+_13liv2~P)zU7N@mM9E3rcS)FX3@7z8ZV-uWFX}^3~5!Qn#_AVNthI} zbmk$qC-1ME>+Z@~D)uTH+y3~Ls%VESNqu*xyiMQ?oRl+Go#(PoUn{BPhsggc85-~L z;=N1U8g@$7QY#M66nqn36SPE`Ts?bc%?f&~=_`002b(#MI`KGpLsydn`vdVL(KlE< zNsk8D4&R@A-@XgBHXQY1vR$!KMY5%Z%tc}^3$OjbYN!^BGs+3|>IrYqgdfGPu3duh zaj7n>WX0O`SY19>d(|zhV0rtnOX}dKUYva&lIE4P3Mr$f)(!bI;PJERJ&0vPp#S3O zo37xE$bQsFtKCaDzdRuMA>{j&%OR6m_K1w$+7UY8B&ujQ0ep6JQhSq_DV3)Z-H^6y z9~r`bffKinw^8hyPyl#JKJ}Js^qUyLOH1}jRGX3hdUrl+oG;5E8ynWkv6_AK?&qr2 zwAb^=cl+gK-)rq(o-CwqnpKkRd$H$(RU24ZdAE3O6^&z$qw-Fc(!7L+*BV$8ZrD5+Y-O(;_%vWR~x~#p$=cMNSiF({Ur~2>v`1ytyctTh4guo}&Apl+! z9i3i|{zc{f8%~ltg>TQtFE{>$98i1C9bSxXw|=C%8T|xYv+c7oy2dJ98PC_>@Oi;j zK4CZ1Z~6>rRUF~DacHr?=b7LOFV%fOupOGLd5PTc#6m?j|H~LMa%1My9EtXHPCX&| z7YDQKRe?HdII4-I!Sxu-_~Dm{$}eXORQ*&sTG03$YLpk(^xdIZRD>sJ&s7Ydd$4n&UkO*U3$sHkY4$W(6ZVtpGxZ%7+U`ne`PHL z|MkkuH^1upOmsbs9I+xEVnQl&^tO&YVFeJyy2q6E=Sf6M^5@D^zFOn6>I%N}Tns-} zN4i8Sh^Las>W?E%%NA3;m8E~^p`mrp((1hGrYh`hzEh5G^iN;KcAQ?o7n3iet8v6+S)Z=o*+Y#x zDKm3G2L1K;_vN?aSL?qk27zlNkM$k4x_>%O9Q};mZ1FrRd}jG~IzjQd@jIBdug1Tt zGt{~~AnAuU`9|0RvHJ0Zt4?w*TKcPUOOR3fFPZ(5-x!gR{^e{n_Xtlm_oeg<-TZ-- zB%)*;(a)UgL$aO33t$Aw3&=lIjK|;G6GVL)wOwRIt4E|>b#M#F7otf)w2#21m`T>S zL77Sa=&EZ!y)(LD>%XB53lBPC0o6t95p`wvDETd;lkNJ9cs;dqRPpePvY72-8DrKh z(xpj`*1@XFsX6zB`s0rEW~_!OjYcDpSnO+ul<*Yv4%E|UCB8Ep+G9y(#AJKSM7#1- zXH~?$T<0dt}yRTI)h)^9H9@6Zyy zBWu{LA&N@%w-tkTH$@>bilD8cE0PA6`l2%ab9Xf(sp8HsMz2h@f zImnp`p_GP(j-A7y=Y6t9%RFri!hH}EB8Sw$FsD3&CfC!hS{7{{&*r# z>?{cD9A4T9{pv2QUtrOgC!#7OXtt4xy>1*UvyUwfFUVS%IzJ=`<`x^Srq|cTe>h z?W_iqk9}7);P`MXj*Ib*?nEdqIPf`@-gVV%)e`ihDcOgGb*oR*y-CCi|8H+lG-qbk z=}8!GAcRf*PHLqGr?ggNA~`zu7n9#ZRxFoQ`_*fFMmB>3WgX(a6kbh!|2r{-`c&xW z$ebpsWZMn`%3sfjc6AE8hkd1LC;c(01If1Bl$zCc-demCJtG!>wq5V8=V?!HzKD{s zqD6w$KMpH9DwtHMMby*l-}-N}lvqDlU<;MDJ*UW6*Qw@Y<*C3LDjLCtmL6i|jO@#n zqRpZwbxhWhx9Z-7tP&B?mN8v@ZtBUOj+U+0r$5l$5nm7s=x)AzHsZnN(b{`vFB7fb z*5`^ZSY_;yJhCDuRa}0JFj5(IXFh>{qR<()W@6cCj#}pWFjQ?sIZb-#)a^tP9i#AtvzP& zq}U~4QBQ)MmGe$h3u{-98)bO2kBQ=fne9_qO{O}o!vF;H-hsibY%AU9$d$mTth_*3 ziXO8o7Umi+315wG$|gvkyYq5pOrmE9Xx8||vHBw%WO>H;{q&y9K2V=QVc9=CDd!Y~ z`Fj;YqGy$#P%65bl>&v_7Mi!UscI zZ>Z9Vc)W|ob5Fasf}iqr^HZEBxMzqMbh z|LUFC|KLa!zt;LBWY&HsJ^SSksQ-(3ANDeLgPV`<<>|Td1)sj;{L9Z0e_TEsd$R3Q zpeI3wly{b2xQP8kWEu?nkd>M1KA8&R)1eL^GxZ|QnTDZrX5^)mA=_=8lu{?{u_8GO zQ#DbrGCU2Pm13q2h{!**|3yX~?U}I1#GI2cq1zoOsXUwLh9K07^SKhpW}XD{dsMB^ zX;q)Y-zps~@N7yaw_ve2PU!N%;VqRoOmAFPOs9%|!NIywRn;id&S4i}9A6^>XQ+}rYyyQ8fk{GiV@G5^TPhpgk zj^4?^tBC0%y$U~0F0swKV7W18uxOvY$JBz2ulBh7zMMo^=6;o;az8p|6kM_SlU27D z)}?e!MZT7b?4M)Z^p;Ee&xbPbnFu_$v5$e z=oxEn$8Vlb6MNsiq3+DYHrT*7YvnGFyoJ73@2c-SyeAUvD#@ECGfiE>n}0q1ee>Jo zZu`gS5A+svK8bzh*`yP|u+U9NqL@}U%hVvoEL5Vp%h6@x7dj<7+x)*{)r#B-m!=MF zcls07@J`+bXP!GXE*84o`q=XD#hou^J^=&9^#U9BlB&2?pVsb{e!P*@6Ij^l;Aah$ z)o;>%yq|o6m}kr%;V-BjDA1YIQWJh3m~$ZW^^NL1iuN1mq^9i(%9GbYw(qCkupO=X z@+UO6dQ={7KSPp?X4Eq|{1h0{wkj^U!paP!ai0~D4&NC)Tm1$?iH6L&&%43jZjj*o z9w(%`CQowd%1h>7QXAUUgl_-DPJA6*O7d6K+xoVvlzln$B|O6_?J?bdOFf=y25@o%~p7Q&!qt z;B6VJb`K9;v19b45>p*Nu<7TB(e(2$BC$r{6wu#z^Se=%n8qjc^KMHXFdf4{Tx)k# zqn>-!I)y*kY(MpW+ADPa0Xm<0;bqwpo_OQNPyE0_yHm6nUt~T8c0Ep_YF_Z%EnoF* zB@+WH-s>T_H z6jt@7g5?5ly4aiUL`>nMac;3YM&8T4$bd2%lUx+5^_JBlx?M+4_`khQ((kQzsC7IX zT_USlzmEPmo*afwntU7ljoNc;V)?78slarzj#K+OV^5g_;PXo@2kTo{bc6E`ULc9p zN%~AIk2ZVay;xwx)mSZjJN8HZ;GC-azv>ln%6ZlQhMgNCmPPWi-l6i#Wp!EQ;yr24 zA5M!9${zPtFB(ZFyP7>B9RG(#u@y>0$x`3@WxX^#+H;aOQ`NOrO@3PacZuE5!*uo? zM6vd+=@O=uEGvzdtJxZVqI+KGZ$?7!pd;te*D0atR0s2M3`=|ZLw2FB*3=o5$QufU zlwU=5Lmq{qmVaXhG`*p(zO@aJE^m_`{N{Xaa=UUie!l*D@t7A-Ir3-AoHlR)*)Kg*x{v#N6z~A$>Gz&Hyi}V89XQsee^+hLkDIzc0wtV_p{C zZ)5Ju8&eHb%rA1p4*%#Fn-HD2B>De?YvK<`5+!xPBZ6Jhoq)JQr>Q2F{2c~`>%U{= zYEDibYE)IB&A{!Dfy~o331;Sqv}pg-nn}O-y&X=9v#B+kqh}cU227t+Z(Z|O>6eaP z3}hEiZRut-2V0tLeMMHtVaJrp?)1@fo8DMD{jR=OMG}4;T`f5=@+?yG`7Ox9rw!1P zn_FrWeJ(!$jTzY$X(>%?d(h1>zk5w)3_Lj}MI&G1T}8s+MUi3CURkqsKRa^je(wIh ztR1u0^ho9o3*J@NvT8ho{GG_I)cB*mmwHf`udOG99RZK@!Ck{beHfj=-+9Bj#bjtn zr20(Nyi720$oYOjN7(iYDQAC6H?2HbQR!AXX|>aW{%PM$1}+#>T2fbjdm2!leHeS= z6ZsRpPukg}Dw}~-nyKgSs9st+DR&1(Woi+vYhuC5fYff=`g_hBeo(RG$f%$eb&m^@ z4VTw^0T>H9S$W4;acAgk$!cZ>i(Al{hNRFR5q~c_x6AW#{-?>Tth8iRIZ8!ytYS|2 zeIybO%ekN%U{n@6UUejvOSK02r1Njm>Fo?FW=52E&$!Bf#5pPG^$(+<)m3Ke=RMG~eI}TSSweiAY9o7qX6GBvFBtk2weN!b2#bk7HP@8-hk6l!Ha$-w;K_Pi11uB+pbYUS^r>8<|`c%XZB)VkAEZU;kT-MfAm<~*H1|2PI?IK zvpSB(C(oQu{&}&x0;^5b&RIL>`Hzfc3g3)+6DARmL05&hrWk)9mi#pO56KKGa11_h zN(Mq+srOQnH9BOb%!~CGz2}kp)BA^>5jQ;|N?GVJrt3K~sePPhw?0h@%?|xyv=T`L zK51PI#{dp-#R93;C9)neHTfL=UDk++JGQCF+d7I!O|x}`;C_$&^@-Ay2FXuEcRBMk z@8R!d&$Feam@noyjLg#M>bLL<9R;bLL>;1~C8LMo9bI@dy>r)fWRW9K-KcbhJ*GBE zPv``?T(~bM0$=V~;U@)C1~f*@9bG%H3DrB9`+;>|{A}w2so>zAys#x`vHPOtxeJ*tm~RTZ@t zFy1U4snY8uoD%+Z@i18-QKiH;LxUG<%vE2i& zA78*Hl1zYeN1T} z>n$h8R5|R9UQsElQ$&Be>F*#=H`_-wz9Z`bw?W=bvD!NCV2h4|qRW>x=_o2P&z`!o zEPjGGBC_xxI=1X#C1EJ*y6PRjDk|9lrl?U~!z{=eM4*K%B0lBEqT zLXfXKwR zB97R?x69@3Rg21cR^~m@M_L=Uu71cAs*I}3Cz3zh`OE?#iA$4UfZCb zp*nFMSaj-F?)xQ4o1ZC^Umiq2i}8PG3Gx;uR(SVOIS zw^C7^SKoyVS>;O;1#fDTSYOO6%u*Y@TEt&molErKt^n`=)h&eESvyljc67b0PnQ_f zCQ~E)QK4@%b@-84(gQR;KUeeyZ$!P}Mqj z8g1wEt96QN4pVAd-T6-)L^MUzJdvFJS>Lm|rIYe*Rp&X@NgduU5@~xRUvr6)wa;Bt zoqOR3YHzE?o>caI`dR4vU?2M3!Y`rATWWk9|6VF>&v2|JPVampsMVeUj%;0+MM=VXglq9 zwfZ>KQ@nJ_vA14|?3Zgc)$1hi3z6AV>`80A`d+gmZ^T#<)hkfVQt7U70t%lPQ)NiLN^^B}nA^0@Dddf+ zdaHW>g>pyaTem;uK)L_pmqeNz?}@jeA1c2%-&lBnJ(5~$QMrC={JXIdLc7}=5gKSl zN3l{?ZX6HnxLK#U5PP8d3TNMF8CO>wZObP-$JGlF-`r^8&Z13uzg;ClysP7959T}> zrGbwzv?$?c5du_N#S&kWQODD^Y=uH?Tmmm|Y2EmI;Q)cV50MDvyQv7PoC(Ny{B z=ufrEN+v?v5qRxUXsBIH)J|{gqF|c?y&F#-Is-l?{$HwdKrgHw-E62O(9E=pS9UyJ z94~hYEJ=9PG#B~67)PS+-S61@tS6r%akQ7%Q?45=`&tO5hJzR>FZtj~cU5NG46@Y%CwMHG$5nn2jXa5|xF#L-%P-y@2? zXhgR4=E%mnrs@;k7*MRT(Yx-O&iUfRNpGXpgfetYQx8?uI`b?-LvsZUa75%^7MxLV z+G%r56KiPt8g{E1v;vY7oiHridLF^sW_N>9ElHSR))b6~^ADYK5FRn_b7;!M^h5{p z*Tve`6@coEymn0^_CPX1`ejHsaVGMDBAVjoX_TO7tUJ!es8Sa!?+ghF9e_QozC-ut z)~QiO9yS4f>56sDQz0F6YjjK1mnjhw?NzC0Sn9oi7u6nfR#aCibO7^i+X>bz3!b<8 zJ+mb0N_H9fdRARM)iR{oUsyh)NrUrK_GBRWx@SaIBxiT+d8{y$8?!gfG#u~Dbxbuw ztrE0p%xjr(T4eoNNyDuL`nbAodBZy&$org?c6c!g`c8;O>DSZ}5+&EQo~1q(=`4?|jw7eqP(AkW(3p=7B9=@lqNej6(?``>p_ICp$^j&m< zE?z5Dbxf2!SA@h%UXOQ7br2NW6um|!hrQ-CHZ|K(sypw20#i;Jz6G@6>%OjF6itmF zi8|rU=-pVSoFOnTW#iB7=cq3S3{mDmxvgQ35G+*d^@Fk+2XeSjt=OoNa-7k}vGRkdEp zd-GQzUrNp3nVL}M`*md98CO+2D)UsWbF7{KvWm*zkV@(862I?xShNcD-r|w#pWU$k z%XN6_AL?Jp&Oj&1`0d|&-aq$>b;%#rX!ELZ!YROmEPY;g7hgaA7)L9V!$HlV)A6^p ze`xd_=lLPO$f##$<4uS&IEUpSEk|d5a9efKN@K_sS4k-R<&S79b4$XW?C1*JgDMXD zXlbCXz{2)d>Bwk0#r`GR8=FslqnY#9?G^TR#CdwTU{Uo-XqvEmpwl=(qEKVKg{BN@ zm!&I|{%@l@#dyh-!E)w4Wqu0*1VV0wyj1V0xn#sLmGhuXz$*Emc1hY!yYjnLxl^Z9 zv1~oNsa58v?*#v(qr}dSh^}70hj)@#!8tR(qU&Q|hqwJi>sJ*)B@bCeL*Y#m=K`H- zoS)XW%Q4aE!Zt#xwoyzFv7yuBp}NF75*Dq!FM3BHY6}7v-4jo{sx0eS)^b#Hq@zc6 z>AdMePaT}dm5`3$u_s>9zC>f+e_?*1nV-$8AF{RLfpdjA9?cuT7*oeZD9H2|Y$e8% z3N1!D2JZC!y}UUQdadr>)-{`E^bJW>E2|85(2P{kd!lY{mXi7IFX8*s8Mfz@=-0AN zE;Jx%+3yaf9fEs{cPM704i(T`*r(ln#=uLeDsFhvk7Tg_y^8AcTCk6e4DKS|J>GEj z=q75)RY_@lf03%i6W;9~x?u5s_r3$6z2qK;jZ7y-MBL(}qW(9i-l-~n`=$+R*TN#> zLF?-efx-xWB>HTtJxt+<$P3~Hy*hyGABZDxJ-goj;66<^=u&sq(U9<5)gEHXHIe=w zT6UZqEa!q;a6CyFxSjUw3=YRCosp}1m7Ao>%^GdL`WF~RuqOK6HbBiU4v$CGO%?g+ zo@-u1M0i!P#LVuSeTK5_yoO*>@XJC|{VF#hY3wccdPhzv9BV-CS$AI~)Wyo!oVt-rUi=(GdyBU^t6KeFxnBDI?euN&jEaoMAAJrY|WfnF#cY3Tz-NEI(>l?|~% zp}ml8aX?Js=&|xddhhZW0-Ja?IskW6b59bbyQ1&=_tf46@TL1vmZ)##F{2w&JseKy zy4Prdb5Z3EeAwM!$5n1@n=#{-i?tqbKMnm%NG0J3h~ZJcNVBH0q`GW%Jupgyw2OLT zr{jkTzM}V#NvBwYxz%&;p(QO*JozYyc1KRy1G@j)p6;(4193gjzSV*X%~-47TJW7# zml3;^1+i|O71UkXd9NHFRGo(+O-_ksNi)VSgU3c>PN5@vCVByH*} zhX;lJ9K^x1H-qQXYIo$Apw&qGte7D<9y%QL0It{Y4Qj^dRc%*h@p&xX@dTTkEI4z> z&OfKj6=8jKPR->?-*LwXgFnQG5_O6F*`li=0t(_n?~V$r_RQfiwQcBYh0fu;r^l^& z+T^*xiXw|bS)rXyNsm*}VeK>p?uj^=vUl1FjpZt>Mns$J6~Wis-&1HLc&U2R&a9p) zCdh}VybrBF*!_+7N?NIW3fyNOWc4Y`bc3Zz+wan5y}D5wGqhv;5XcP8COvT33u&`H zYt}!pVXsPwSr$wq{Y*+uf@;-D&pcl~0=wIfw{?`v+iS!!{CcjslxMYPZ*{)k?*8OD ziKwD#GX5Z_SiYa0R^|F{ZO!pkH|yd@IWlRTpttFw5U9-K)tyY}o`SL>ak z5@%v82jkyY=x^8}aXSPb^n)dY94gs08oNEM-jPAk?z>Yqnik$v{7{Vbt?;e#_Mr8H zJI7Os4^KI|*h@#8`@jsC!!@ISNPCs{bT3yA?dNX1M^pt56%-m8)X(dcriR-K5uI=7 z;(>ma-(MwVdByX$;r+&y5tlHHxPRg6p)xBt!R^Xbexz(G*$>ZWs|}5}WEYH1=(0FM0mU7c2OHNIxo`Y$sIQxcWm>_6qgilX(A*< zT|rH(qUqpwq_RGGhS62|J=fzOnpge#wAME0I2chI$%L8AuDs9B6F=$kvQF-xEt|Hqu-44dFbi!>{8t`tl6;Jd6w|NH3~nIb)jsZsEc>H zt~y9~R+jgCQmL+_tT6nS$D@NG`e@CZFxp;GQ7mq%J-M?R`k=iftg7aNo@oRIbkytzi_<&3Nm1CCfwXBUR#Q!g$20E0_T(<^rAe#PgAD=T(@PZ*z{BD1KEMKwzXW!Vz9Hn&( zj>vpim^H;2?y8h6SR!jKVFQB3XVr?;?dw9r!X6~v9lAoV!b2;aRr`((HX%8)&b6ev ztB!S=G-$dhGjz4va(>f}mUb!14)1Aeg$6R&xIfODJRj6Hqap@*1ceS_q+!$ROcs1+ z@m$Gb^&X(d)4{D4N7dvu#joOyDcx6fAyr)b)feE{sXf z71wCDQ;e@BYod1Z@xABhj;xVsWNv)7| zQN|y0-Nr}cGbi*xsoq^Z+j(Y?`?Jx_hT`b}CiHD*<*GM{J1doai)%v~;XfWuiDvcs z4B{0vSBuKLQBTUF=WJUu-eyIGL5>Jp!J-E?NV=X8`gl=uSH>^d1MsNf55}Lp>#pXl z_e3l4YV^s^07*sl)1C3BK)mQFnlZfMxhiRbwkN{8MiwlZ)A7R1aMPZNpmJk2bWM02 zntOXOyoYK@mlgjTw5uu#T@0vTLCS6fKR}1a3dd7YFwGBEg){%46N|#}UA^*@V$TmhJ0v(}xqONjrt!!oSXe<}dtR2OTe<6~lOyxaC96}ykuxhtN z*OZ%dMXjf6ug)w@70(u&FZyIDqOEi3>s(byt8Px&yl)Sm0~iLP>_4O_e1cv6bJn5@YR|PglIr%-C`_@2jhsh)Pboz`L z?*lPP?)Ce$0*)nNHA#wl6$;Iw5ur(A&-e7Xij4PFweOTrjTLL`nb%p%YqGE2PG9HY)0AoFdqCU{=c;ibP5)HXnm%#1E}$cT=KxZJ$(jH#`) z*}!eorrYI1&~zmNHc0=C_Gl6n@Zo?ZhjXN8KdUr23T&9ICh7rr^|Ys=nMTi-578M? zQ7i0#tPFWP!;`+J8m^0H!%qu=DF?~HDf&b;R?S|1LpqW1`MrG%hpIc}&+jaqC*5bC zx_v0VTyKxaF(WP4`?RTFPJDiEokFK@I{Z|sGAQE`3vu}q{Rcv3PS^N%oKtkSgW)GC z+@o_T$CObhdphfcy(Z$CUQXBeQv438kU{`Ip}q40oMryJ!N zj~F0QPCf1>)FW4o!nPhn$dE~c|CNWX*;{x4hzINi_u3-DevbDnR0!A?7JCJ@a zX(?$%PceF6hZS|QA`+_Z9Hn!x ztXz%IaRT)tZF^N?TPzU^2=tUzn7DBC?cQ9z7;dV2H9E-|ayqp8l!h9UvNNLoVz-)P zS~=ns7B6ouoqDdQ1sNWP-~o|Aucs7C*WFkgt3$e3gKGJMEag(aZr0YfZK=Jf7{8{9 zwxS)t@`YHTO=-K}jpg}v zMNsQzibA~haOrAE$o)T5nc*R|*t6OU(!3R{yJofu< zRLxK=sk3D~5`_}g6OiuIjiyN*IO;`}YCBweG`boYIjR1E%!;$uMmN;ed!0(4Jmrix z%V%?lT@gJ=6QQBHbj3>=o)1-0#6N^AdaN8|Y>uLLife8pCx(~5T+2>h8rXf? zagUz1SfD=1>KNgC_!1338e$2{V#LOBzdvyi`HkRgi@I9B#<1=GWJU~^u=|F0}ug{lgx8=PNL3Izq zlY+pf`!q7AW?_v~)$NLm+r!`ow(z#}9jNW-CLq+dx;jV}bTtQc=0!DI<>{~UY%@Y( zK?7BdhHstU+^|L^#6jt(Vs2WWLpPpM(YNbu5%mH&A8V=1(dI3HnFx}=L zcomjKaoV@2R3Q03Ls8+??(ZQuO>6;18~UPbbNDcnN;fA~kdY#hj#aBJtg6%@h>mvL zP};8Szw_ohQ#?J@_Ng~g`qs;D(Yr5K>*f&_5#HRuL6v?;RUUvhopYR$os}&k-C}dR zMV;JBIH4?Mn9tk9j${gjm~l) zH7-XVBOh%@Zg6vgWj(77Nz^CY82^lvpz7l2iF1y~6AIl6?_Ufw;~{-io_)&V z2S?ODZl7N;iQRpiZ0G?R(D``+2fJnuekNATY}cO@KR*6GvLilT$Y|0}B&$goI%H-R z1XZt2O^p@Q{5920cW;Bp>T>LflY`}x89mD8ai3hgGon$i#PA|eV$2bGh6Zkid)E6q zY>l*#?1t5KTo3PP;jzLKiaSX=f;$PZ5|NdxJA$UGPApkbleg=4MpxtZSjxn2N_Pf4 zon&^vE27UiJRPLOr937TONs{0$qTPOaYOIa?%*38k{?9wc_lBxHVG|Aehc#<>RC3s zqx=F<=*;;QhY>BXMv;GTge^d1X>gM=o@gnR_v2ouO!YrqQN#N&TN^y7uunUBuX#o1 zBW`Jf_iII3iY?dAp9Y2Z`s0$LO5Td-3uHYfCQ&lVwAg1yiR`S@m_n(MLT52Dujw06 z;;hzW%V-!KYYso^Dx0!T*GL?)9W8tHZ+gVIGMe&u*^gKqyl4M0c)zZAzbN{mc&Dhw zEPo!zE8B3Ku&+&KhU!hlv(UakYF<)RH)t%a-a=zYO)9g7Y{5&f`!2BRuhtWH9MbrV z@4#btMeO?^hxJBL0prf9=EP~1kEo-r&?=Z^(Mo+zsjO2xWm)mcdEc?-K6mC95e*|l z2VJXBe`qJfeTWj-OA0EFN~$Ld55xg&k>gEytjap*m9A}DelKjfYhd&}SSEudJdb!=)W%eP0>vwlEA>;;LmM%8k|Tyx?2-iLIl3DwCMslggPxEiQC9olefZMg&$3XO zdP8`IYCZWyJmemUvt!d&=Q!T!Jk%W3vcv(AxH@w>aX#0qHc0oyKxR;Xd*-xZ6Kch@ zf*BEi(7G*I{*MpwGipWp`YecX_%FRrmZMMJ7E!WYbrV}UZhRE73l7ljy}#?Cz$qty zY`A6Pf8j3D6O)69g3zsWg&5jA}h!5 zp4BPge46=HPp52YL^(&6Ve&Ra#&AO?=!7k$Sa16;9wj~-b3oM~CRV4_loh4F={qiG z+ETg18AZ*D>Wh`DAM}Q9BKac;*m2hH(MtHhTU-;?{2hEsE2ihFuXA1;dx>yf^pBXoSrL`|r_cltGnISF9qW(AAK-51zeFgXPBf z8+=@uPz4u=w2I8lv~==xJv`eJ>mbyhEvslfA)=?y4BdpFWjM2{dVt8cPcS&>j7F{< zkWTpH9Nk&JVRsTl#T~N3vY@j()7MwuEDQ%y4k{B*aL*fM;k&Yes`aZL4l8CpgRG#Y z2=QR9^-SQfSV0x9gp3DYs=9?+__MzuH^>G|Z>+Uy#)h{1M$dJ%4m9L~TT)h@RxbS; zb913@TdKJlS40!cQ&;d%L?>5q$e9Z6Nx6&~zY-a$($7M}p$p@oDf+uQgD5#`&kQf9 znJl>>-mDsVEv=ztLG9JvOnHS>yREo@v|Yz0)Y}Rgrgko~<5|Z;as-I=qk#YPI-Wg9F-LsF_rmZNp#pq>;BW?yuI9t_?~od$gsI zK?~u1$?NX-yqC|u)F`X(`?=g3S%BTWoo$-mZLurGCxus>e3Gy=hUfEd#gE8k#Q!~{ zdIZu?l-6k!creLeQHEOO!_E`$yjhCLqea2KVm4HZ;%xt!=VUB7pYx`BPR-1e$Ig0=EhAl-XQJoxOUc3coMuG>BP;?9 zSt6M$8ddKZa2Mk9P#|ZqdxjAekxzCA8bTUXL&ZK7*a*n)jDGib##9aVbqWT0_CEQS zI)9VyS7vwd_J;TWLBCXZ>BR|c6{k7&CwTBpmx!q56@@)K&BC@wU$$5pM$iQ`b_)<;ZwF(lfJvIsHMnVkr8;Bqz!+?3pOd$ zHfAy`?17;QsJhDapX+!B$ZDblvL2?6R!*HuV7+Ns5NeC)n6S~!c2q-j+Y zI}H6QsOn$=$wZ{Qa2Wdvbo~Txc~pVz@roLCDbHfHzmX1$7|K^F$fXgHQdO5>x8;yY-~T zr2DluU^dWJ!Vb5W6Ro=&X^omh%oolVxE}i2a)0){q6g0MCq>qZT+%fyUr_%dDt3Xm z)=3jTvd@@(J{#^2&W5E%`9mj>*hExp23w|>l3+eW61<%8R~_zoDM_HR2NB3R=8# zoKSH*`YjT1)r!n;R+#C4|LK-=8|V3+ZwU+M>Y- z*q@|pb?&7tC(rKq0-c-IK1;sDDrnDDp`}n}(vO}|JvHtW&h==;FNV*TBMx&r3|Yj-ME)?S|kTXOBHkds!JP$d1H4*h>aa?`9tSxJH&dlH4azYQ-Jr zJ@Q&YSw;T#W;+{?G)jg&$x{(>MEj>|2-?4*)3xVbJRFaj5P4)iU@23zRkDj!^LOLh zs^?RG43D}!GmenlxsHK&4N(;Q#y;icJ(2CTg)}6hL5`K}g4CJV+f9FeE=knt<>8;p z*bkP@zI)17v?BHcBjcWk1HCT$M*b>#!#>?zG@8=;z_>G^^Mr)*^^YwDAHs(6T;dUq z?BbzuzM|`_$2cp3BRpUwuHZP+c2sccb$=%2H2N5^1?sW7dcV0$)eB=C9kFuMqrF=Z zmEm63JG!B6#b4~T;;bpcLZquiSai>w@{A?DB7R#svv?myTgaR<@f4j#RnagONfFS% z6grE`D*&C<)1>#AdpnZ<;7k{;hi5}$SbLEhYjXDD>YdUFCDV(ax8`lOXGnQO=L>;I zi~P@iw4_7UyS9DQhfXee-^M8kTbRee2H_J%JmCr|b6_ z{V%NZ!d;ta3#c&Jp33S~-KA@6L&e>Du^Tkgt>TZDrisqkaFqM2@eu78w+robBPT;w zeaGQ|#G`t=qS6k?2qCN5$eVMaoBg}QD`~m$u>Y{<6DpiBbxNbA(nk<>u2xVUlH{f4 zuT5Wq%9{(;A4;VPijcEZF!27Q94@{k;z4Ma@N4CShKHPvAmn_WEuNxvk+t<0S!T^u ze&>yftS=h$1LvPJZ;e^h9(9HiO&$BE-7CJSI313nUafF9ab+&63k*FTyTn&?&bM#^@yq>6uu3ZAJHQ0UARsY|7RunpZ^QwYYuaLJ>9 z_S03;e4uZ5Q<*Dr&{M{D$aVD$&{>97IFIt4NP@LGK%O8pRZyHW60zU&s=rd~Z&V9t zGJEwd)l49o*Um8M2rde5`rSQQ>q$iCkTi_5rP0+9$&1YjM8@Rl{AZ}`iVD0)Nh&!0 zqR1nw)O1~^yYeBOv(W3Zf*LV^qsM!~jaX}K&`;Dhkl(&)C7h7#6mxNp@0?+UaiT0m z&+r*?!kTWw?TYH8*w384N^!x^2PN49ohwf+eC|tw_7)9ntqAKy{-?EIcl2S@q4}b7 ziDw4)vVDDo2J#tXSiBMM7^kO4wb~0t406raA;(n-w#!vurBk=-KTF72I`p7%<6p$u z`To1Vzgy&$q2&u9)u4sd)jH~OkB{KC>NXS|MTu8afkD5{%GroUZ3x}4C`q_mG}~Ry zVEZ{^c%5v%S`xBBJRNU^eMfg#-XHl-xe1fb+iI$wwbQ^H240Y7#A*7aAUum6FvF)R z&1Sv&KOUP<5rx@yJo5i6|R!1zFogwr`=8Jug+a z+NBW#T>*|P(v=YGyLr131prnt_TuPnVTcpc?kbO?AV)ZRh z?Z{K3Ansf<3O)eGiw>}%3;Z&xRfkuNQ=!Pp!RSuX6AA0OCFluww!QLQlysI(gnbLo z&4y*eFT<$#(XHmW(bM6{t8(dHCyA6ltbpZaA3r2*n0^ve%ej15dl97zC-ftYx$KQr zqUHe1V0ijoG~4*B&}8l;afYCHeiIJGu|hIs0Ar00qk*>{M2(6{1=cPKC6JEsEA z&Duukogo^C?it^eugy7%x*$dBNXH{WZA$#koAUfs-B8l1uN(fCYR#(k+UShmT>MBV?UsO8BRU3{c(p6tsWEdOG_nuV=?F>(!->-yudo8wP2m?QXyHGV@`=< zxjw5!udLT~QMf7CV|STD5=X5(o$dR0@{ovaoXK?Ci(iUXgd>mIx?R?_YF>aX4=h(j zL={OB9FbAL)u2Ajf?B3fwe_5tIwkfO92O3zlNK`H8kfCh@_U*eDMMTII`er3Crf?-dJ($5trGgl z66H`0;$vzygnr+7#hSHi_pji)szw4Hs8_8^5ssdJzqRkQujyH@T&vSJ;{+~?-zbjo z%$2<8$YbsH#vV|~9afMymMZk?dEIkrJ*>i$>dNJ*MY>Xrr?CSnKkejeb574guA)t3 zBqO)b%RCc zvh`*)GxO||)XcQnEux9W;ua^I?IW_)^5fd)u*F|_-P4=uZg7*iubd9?2zjxUfm0-0 zQ@1omNj!#%dnHSzMltHXwboSBk7^=sX9Kb_O69G_x?&DTc+bcyf(DcwqPS-9+I3Mg zwBgJ}sC)qrzw!%|Io0%ps$LT1mds$w?Y(^Fh*MarMn^>arac+OM2$H z{$*rr3^uW@Hy=lZF;}D{zO_Z(>03BqaIw(Q8(~t*3tN-RveF7_z)ylG`8?1 zY|Xs}ucFVr?#-rX>k;q{JRI15ybn~x=VjGClXnL$q`dXW@<_{zdYXq?N%UNug3fo} zMIR20FBi2qXT<0##R%&9YlhuE_*|WmdnB1be8G8Ufwn6#De6knp-FU7&!MjGJ|B+u zi|56W+!$f(^}yA(STTB|le>IlPWRck5_+D^*B5n?U2g?>YeXmMBD4nRY8|GM0_u7sxk{j zQnNm7sm4*FX2k1SM6;t9@(->xnKiOHj5W8lXuCW(aB{4@ zxHVpS*H*&Tp}raK#$ziQnDKUz!)NqNAkOIacnakaY2+LR+DSf`(scoUK%!nnq1Cd2 zdxZxh^oaJPrMyYK+*)~&0kw{qRweaE>7BDkd-y|V!zgP*n4%wfbb5$>+Y>(*jh@(X z_8(Iv#i9@98$+*i^u+f5Y)^@MGtT<*((RT1)5+$J#}8h@&pAQqO=0CiwixqSkla-9 zZmM&;i&s#2hvp!bh|IwXznuRDH;>(EqxI$wttVdjOt#?ANzr*YFRZj^poz0R|M(k|8R%6zT+bCOL2>V#SnW)#&tx$XBGsRbgK5A_Zz91Tl2w$VMxo%3A z9bgYXojXD+DkpEefrn%woen7n7rC#&Ht3ljYqDNNDm~NVMV{B>_q?Her{~|R?ws0E ziJmq-3ksF zUo2);qV=9_l&sC<722oP)2?TwJi#;8bp_|&J?~oMS^F}2Z2>IatAkb2_ui{yVf$y;Yey z^1L&<9AQQhs;l9iYgQ&IpQQCJLPqN9&Mte1)jj)a7z@*UkjXVG$-E$nyjh2(&X z!b>fJv`ne^w2#$V<#ojZi$ZJmOV_7{mFo6wtHY=ld2>ztaDqIEYOh95)`*S~pZGLY z1Hm>a@lLEb@Q#5!EBb`66mY^*>^R|Z>)C0&8~N|yGyCK!zHIzFyb^=camb6buI{Ls z5cNQIsM5UM)V8OWpgk+kk*v?5=u)TFnoy^yqIWpX^DbyWXpZ6Qz=)2og|G>1C_E$)P;EwBrfe5SlV0z& zX0Pt-Z4e$A|ADKhDnssK;Y@g;8A++0HW#C)!q)L_>KB95h@7^vnl-1{a-yxGGf1i1 zJ}dQytf}+I&kh<>t{FDezffzyGgCe&)!J0?-PN1WYS^EZDSgZpx0Mv7QpLJ_hCLJ< zpFvmCgZ5xalqf&M0UcUS)YD2U_1&}oMP4ucUcLI_dg23}XI5w+a%9K5D+jrI!3W|U zYqy-twhn$E2JhD+YS%KYrCxNr;}uY3R_W^7m&$}v9#@{MY6lkme&%`PpkLv+aHPYh z0(3RicgQiK28u8X(4^zgGh>UYF7PqqiSf=h&oSB_NnTHP9RpE)v}k8#VwYeW!mFv0 za)nN%9D?(eL;32=g*+>|INvNCfh}ouI3%)ic(M6acShAaBg-O3m@`mhh1z6jN2)uG zFAxu!JQ+s7@^)rsdo(nlu#UMF>*n9Q-@n77YBeD5dGov+D>&PG$@#2)tcIU0G!Buod|k+71hWX#pBzn5C4vN3j&eyA{OdQ${W z$e{7T{0%u#k_ljz9Vt{5j^a=CzQct$i+)N+2S%yAEH)h77#=?d9qA|HS5|GZj&_P1 zhliIO6 zrqHuiF*v;6bC1VpmE@UG4~sq`GWVp7_q1!4Z}-~s6nFP=q|J5g@F56+qss55DMm%L zbu=O@7gzGiS++bPXA-_5Mx1nm55e`N@y3^qZW$wpUAp)F{vJmzjC!C=@eNI>t*%HQ z*+P|LhL^0_cb*9Lib#d3?w>DC@uzX#?R_v$AKXTrs6f@kXzI#SwbCY%;-IJzPC`~>Snh}OiY?4x%&{)5lx zj%p9L_Q>LqOHPX~@WAoNtS0JMl6@0j(R4$mch=M$+WG}LCY@bbA=k-Bosn>L z$301oe?YTs){}8p-U=mG4^)38{x*1N%;6rh2`<=Pt25uNn&p1XFyy{wSv!X)-=IAa z9cL?E`5G(Ac$Tzi#h9Wej_GW8P;F0ZYIKS;-_N+@3>R&AUlT7#9A4pEdCvV;6b8n> z$5(^TrybGg2KH72j(0}Gsrm(b6r40FK6(~N!MbXAxSkDmN}hU)OGxf1iF)Dsmr3o` z^=FZ}p%GR3l12m~2Y<$d+(qF|PwDd6yj9iHs4IMHY?!1CTJG}K^khoQDRP4PPYcwS zQYUAhl-TpV$7IAjdNFwM=!K}WS$D2St`jwb)-tyvXsWv>&TEkqB`*CE3u`NE|JCEc zxmF~2I1$Gq_>j{`CI&j_9{m1mbegcdk$q`y=o2(0Y8G7*%Q-Jz zjo=dHJD&t9>PZvMC~8>=QE#;olJZ31yg6lxG*@%i!E;tvyf{wH-x&c~vAL+MWNXOy zIQ?%BeAdJQ!KYb=<-PV7s*{)Mjy&sOJX%&?kk7%M6m_dbBcloo{%l)Rw)jq*(VLaFhZTW^Yr-gj7bB5{h;&kp~SMp=8-hbArklT(y_VA*mpBk}jUB}Kz8x{7M_K=n+Q@QCBL zQN5InN6+Y8Rp_wXOSA?$#Rs(ZSu2I*HK7(Zh_CzjX8fS!uHhd*QxNV~qmjh`eiN%$ zHdu7@9XN6g6-F%~=Oe9OL)lM|keof_hU$Dgp%2vwa`tlWNk+_g#yzAoRS}{}Ug=HM z_Nam4>$BwPwkIU$M*H@}2y1GmLpRV(Y{ zBYXbFoI#NhMI7@i{f)l0qTF((gucv(1)Q>0M20g}3Qx<2j-N={z@PFA?Ul5gZl4{6 z(Wz5+9`r^=Sx~#s;c8S~bN(VIib?VHrAD1q+9Kw#i_VF!0$spZC8Ovyo}>>+Nc)e+ z${dB3(kI1SvnrRKyx}a#$O*XbYta)`Bck&>G})`-#o{5H^^|yRm%q@@HR{k{I8|KN zWNM_;oOwn!^B*Y(R16lr)}W7= ziK_Uhq94}m+Vx;#H9iYRe78W9UFR;kd(jP=&#U-}*P?=A{hnMjt{>e67i*sNL}+g~ z*e|S@u<6^ZP~w%TdF5vbq$f2bYI?`Td5Rt{lvT+m56p-8&-hKr zdO=^WUagJcDJXi^nZ!2;E~#YwiKlck(X;XQv0AbZ(F3FNyic_JpB4Y&8cRlgQmK`0 zku@ex#Kc@_${ANJLJ(8LZeKyqL}OfI1RagM526dqux8it#zWbANG7_Ai~+*CfYhupQyatmx;A9k*yc#R_6@R_WEa?1QdoJl8vxEQx1I;`J$9D=zGV z{15lWALDZb-`W$>P#I|5*=RLny+t^Jo3YkkXVCs2EF^W@!+%hHxQ&El@1xHxT5s%E z=P}c4YHcBTxv|R7OzDS&4uOVhD>E|FVK3}u*6&Tt@PZw9AU-Hd*&Gl30?$_<-JxjseaZI|4G@jT zqgkwK@p^oBMs$`KRn(^~C#$Z`16+6`HSDlADs5@Js(GHLqnG)g9GQ7$_P*lV9%Sy;~93h?&F zlAM+k#qX}Fi1A%uy0XSuFH%-;M15|hcXnQ|q)Pk}qLJ!D_})<?rQZw38J!j};H?oKZFCBxaR92$XvsBoO(xa%O zq@uE})%_c?n#UkN_^j)dssAoIHPbsKbVBJ1X<>Dda(Tt2zZ8zlDUIllb}8dp{r6Cd zro5?+JTh#=wU*DrPVXaZ`qIrF)Vea|EjbfW>z3oorKhE|97a_{{Ri1M{jbIKgCp&9oUg~un&aFXz-952;EmeJlBcjB#&EVob;%EV}5m1F8ppP&x&>f+KkKVh@pb zOf`~UgkT?loP*oBqGHoWSn&g*D)ZO&ewI4LMH41oKZAPlxz=}d*&`Z0-dIq79IZw~ z#F~z-;@91Nt-S{u6qO#lKaX!^-(~+X=#I)PD<{K=D}q>$q+5t=!ir%5MO)t6Xz9klynH(TvdTK}^&c zy-xH@c4b0~Oe-n7cF9`WoUL)2`>0t|yhzzUq_eztlgF6>itaCLA~J^z5Ul1JXSTH` z^u3UD;(}c+YqbDmKeE!QM`Vf><#ecZBwEI7Gox9f=qjifiPrDb!`{^_2Ydu4>1mDp zv_-AvjBS^*RTQmgTY(MEC@sE#lAC((bftaRJwuU`(vdRqi@d_%A`TrvqFZgWz;pDvi_wSQ`dRXo?rm#WdWfj`+{)!KD_FBJQZLw@q zV@jPqbT+gns_2)@xPo*fck7L<4%HpwG%3dz>X#pJ8(O)qFyLCpF@srEgdG2((?{EenFKj}PP(t2fcMooOI z*e)x=G&e!2={ucY+xZ@~BK)f4p?3!*cXnpjr+HsXzwLKEN!F06Y+`qXs#SE62!{4Y z+yU#<*RXsh-HS3L2ls84TZ*`2ITXPZdMV)1N?Z^t`|&R%C8nfi?< zq@R04jTpQ%QSD9ETIsW(*e06qEbp(M%kQZe^6^B?0iA1Bd>=`T)I+EE&NF&`1znR_m~moqELus& zs+OqgtsaR~Evi??PU#v&t3eeYAATTmBXdI!)q)eh2o9~?NW3`sV@qlB(67|9fCzl} z2qIQB6L$)91(8#@aKFNRV_$1;p^-kv69uZ;L5uevJyDefY1NLrk)PV=@&!Tpe;OZP z7hG{&&n~{}hPxsQs3dL8{o7dfIF@?t1SFbF4}13T3oZSUc!4bj?yUTvJ9Ah*SjHg> zsy{$a=+683;;T{v$XPYUX$r;ui{n8)yQ7Wt`&MoBboj>FGP&)GpW$Ya9UUEkgKGDP zUCRfBzkhr}zSDN_mx|CY+!CF{?+xE};har9Tuu{G8-LQPd2|!WfxAsT-tg>6SNXW_4c#ozvXvK0+imp41NKYuMuy0iyfhaz_ip^zUfJ&C42qUT)U52YIF7U&sHa$x+WSsY zJuWlwb>yKh$y0%ij+FcgR=BTi_~gX?EvqhsW{KTzI?8?5tedK@c<`?iU0tqgvhf** z_ODbD%q&o5VN1L1M^IPK9i&aq&=VXBU4{O6eD=HwosAsiOZL60*lFCuZ}cgAa^LjbzOdI+I?JTDMMfU#q&tHjG}BI=}AP zsc2d88+KY`<$Q6Dv|sV|IA=p_I1rQtpWNgLv|3VXO`XmdmG$qBYX1@1BKgM}(;4+c z6csg6PJZNCky#l(x;Ho{dI~uzInMjbgF-|-r-Zvy*>ik|e_Bs*MdTOg% zRn4fp(Pw_}V(fY%*zTMHcg&H!PBlgPnV+VGQb!*Www-BrU)IGw$I22`z?~1Hc8;<@1L#@e68Hna z-J?5Y)X9?MfvRfpd&kd1vminWk|)q`*2{DM+to|CtoLQLHe0vKz);N)*^03@q2m=D zzT}|CEJ`Qphn}0dzE7K;Rpgm=vF{(K5j~_~wF9tDtP_}jmNS>Jze4qvFtQ7Z*I||d z75|5uX3wM0*JSm;3#3z;|F>0|`0aaM6_Br9Ro!FHN|7ZIKhz27Bwt2BOANH5<)hsUOyz^T2c+K@onQRO^ocg^SH3}Ib6=CKUphL5 zc2#rGyO}gP|LVDM#?+cgsVrNe==)j~uR&)k zc1WWdeZ&Wh48OE@DXg5}G4yRQ-dxf)x`=lF9@<*P^AF7|@@4=%n| zBtz(yh$X;x-0>YND&h#l?8bi?Yz*EN8#67S;$KjovVz*C5-c42T)sfYCfc;tQ^tt5 zgle%@^G8Th%L>tgu2ZF8x|tsrDUNhSD^?#!P*g-VKpv1qc|N#${3}}0Tl~FfdmtrG zR9gU>Z=!69T1{88)*cWcU!?20J*CcQ8y!93vrmUnZo}OLKHIxhKF{;n9(QS7oVH~? zdq2zP6+X+7rcUnl;<+%v9 znvg2A4R(k7Ty2~&80oWkrhQKbtia*uqbn2{Sxe2@kMx+Nz2TlE-ozOucMmRx#oIV0i;3u`u+2J{o ztRJJ}j&hVZNmTvPEa|ef6VHVcsuQ2$KM#!D((dD!F^zWz`@`MQD{5kt@j)Xo22}#M z0^h8PVyY;$%kFixk$nv(M6u1fBOYSniAh`zOt7pSE|t$$S2)(CFbpKHy#zl-JbQvKd>z{8bkj+nhMHrzSIhb#Mh zU!K2QsXVU%%f3H;hK|3x7~?x!(agVly`OoBw@lR*w%6s?xEnni>qKZL@9;aHu@kHS zQH|Zv@4d4D6-5M_Dl2!$b>$j}nlBj*{COE}VCti)f~*eVeiuMotv%By+j}_?)8X|H zd9(msfxMj-`Z-#^Bp%JG%eS+9$=R)YSm@y(=!A%!`rzHCGiamSTgC!XQ>&wx#1Yk9jgj9~ZR(g@5?pbN1_DeU>`{h4fLMlj8L6GMfED6ZOgE^g#7>#k@zQs+#|u zCoG@QQ2hb#Q2iU7SJBhaa35Kdt8$R5vJiU?KLL~S#WSnpBy#t0aK)a2zUA~%F=lkN zs=bA_!Rzl5d!#;EvjXDQ=1r`I{yi65N8E~;idqs4rB&cW41YtaosL!`IMAt&xs z^-elXlHaI|PNJ%}>u_;$o~YK2HxA#3qPx+AU(oivG*#kKr>Hv9lL)8B|I?2h-% zC-nE(@a@olg(K!Y6Hx4WKY!`e8Gk?MU0N;W9cdrEa!@^=3)d~&F=?nfS(4g;#(=YG zccc}2C(ob(pIx5Ltl`N=i#y3Je8ujPe=m1IrQpY352XXdK0+tudhL(yfBn7twf61V z>`qY5AgCSrU8vXZ(VktYS_}wT@s7f4d=2_#j3rjaJj3G`Zn!y3KILUxI%(}QT$!zX zN^gBN;*_UkEG^-M7^c>e5>z}OxS7r^)(PA)uPv+6johmgl21G!C8qcDftk~>y-Lc1 z@kh&nGWykh>TLgLCvqq2>|<4evo^b=?EC&c*ZNc1vvvgCh?ClSPfIGZtQXZ;E_bVO zG@dHP5Th0z2p)5Xo}uVUP&E95?p?A+IF;i!=y*PLXwy`Z_UX7ozd+uv=@ecK?xM3B zr|&tM8OVx-6Q9QJdT3|-TF=p`)?a$M_%shD@qGT0U*KPi8HB>Ge24z(d;XR_adgW@ zll{m!4qm|D!8>EYd^Q0pLB5wVX!ec~onD&BkZzE-58 zRPbWb>qND>uSXBon)@qo1yUzRo_r-~lhbGV5go)VCyVbMIIX>RkU?}nrs%7r8%{@mARERfKcwd7en$6!(N#KXWP|kt zoq6&2;c1_erPW$aHP5Pa^#FY~^!M?etU4vvtjvBSNh7+A6etUN;mW~BAC9AWwxGJm{UKX@V5 zZ)Y?nW~~+L?ipUdq5k-JK8e0IR<=i%_`1}+51$~m!8z69PRM1ltw0P{*;nZ2*cIwb zB@YQbrxKBDpW(qgUPNmq2g5Ix4g6gY#ql?&7>)DGz)!dB9`gA zo?~Bvo}bjNf9HLL>X+%h)xED!y{@xd(0kf<4lIXsPrhSbC#t;n;d$^3 zG$Koj&{~S`hS?CIn)Tb*yTNRl>#gOC{-V_o9rSGqo?cImuXz{O%$%Tu!I$m?aSxeQ z_fFaDHGLT(pTskbnLV;q%)ft4y6kb++2{N-PC?7ZHPV0KEY3dBvcz7JXIL|n&;#R{ zvZnjGnfFlV)V?1ySNTE94B&2gz4?*&x3AYq7rUZ8wWE)n_7#>seuL2T#0f<=UfEVN zFW1e@&0hJd_Mo{EtwO7!vl{+7^tI$>da~A0y52Ku%=o!^<6nj8EGOw?cwh?TuTK(x zQy$Evr*nhreFFIVRwswQd2;0AEtb>h04#z|qn>G*qx-6S5Vk8UU;XYA=-+>p9MQe& zjixj@D3YJZsrBfcVS2jbZ70hQd8QH zUR+0%#oy3S{t5;O4^)jIJ-0XdIlg}NDSl4%HZa8Um=_6T`D3|rMK)(x#6-8&PkjQe zK*gQfHl3p1iR$?c--J#FbxHIav!n+P|K2UvUHWuz9p2BlZc=}n&YSv)JxqyPm*>U1 z@Ze$_mbVe_>-{PzqEY1&^KC>>%G)mS&*!KZNsMaIBa~%b-?qP4M!!hTP*~mi&9X&! zaYpD_(&0CrhgBXk10&G;EyhINKwKy6x}QfBzl?p7D;2-RnD}=*k0^V29jrji6duT*K35uE#5_r*}R;#{pcE z;ELaxXK_N%v^#By710UoR;2oTydwP8tVl#f86C0dx{u5K71$?}s`@vLT_M`mU+wY7 z`h8?tU1ki(y+Z1f`&7qo4fW)@f(w6O=J4fe)z})n5`Mg{5HYEl6)h_#*<&x*_g92^ z_w&XRaGg%%_f}3jz;8&0-QkHcyvrOFZy*<$==(Qf45~kH0|qeawY^ZjIQYqmjs8@a{_TuyRm0!8cZc_2B7EBbyTIPTC#^c&fGMtCUd z`+H#JT`}&MYn5xZ2i06Ig*-nTgN!Ku{<=tyIqDLEprP8R-)5|defJ9>t5+|JpXHRQ z>i+i_|1gNy()*Oy{?~p7m=}~P0n||*?M23T;1kxSjuD`FtxcVQcV{1gkQgVUDL$e- zP%p8T(%<6WdaA0j>wBReyf2I#2|)L3jf`4=?7OIoGfRiw(|PTg>H&hD6Fsqqu5Yq< z#7Q~#b-PqfM0-2n;pCxZ-{y7w^zpXyrPHT6ndGAK6&I^_owf>Z0>slzoFU& z?*mgyHb%Vf)uP^4`IJ_bx4#;`%`SO@4!puz5rx8(4!O- z+RqwKt7ckObm(-UT|`vZl`=?`xy*?Uv-2*!;@B+LkC=ryz=Q0V0D4>!6@ zDviuNDKz598H{`l(1n^Y$oZs~hw=-^T}A3#dqp@|OB-ZLArn|xJx`n{5Ry%ON#oLW zpSa89vXa(s7UA~y_fU<vffRwh-IKkqKK$9!ai<#vhpXT(vrDX+iX9r6z_m zaOl%uOsUpFqn+J{9Bo|@?;jbly%BYJBK57MUuoXYO0As;Vau!|%ciZwK}BetAbQSN z>K5#3zVm0i8ai3jtyf;lx>2=cR5-J%&|rICsbAz3B%_bsx$k-J%e5(}ew#yaK0%~)UU&h zwavAE7heT4bh+RTOi-mT;w_i_51*JRRhqA;S^4YSzvr2YeZ+pasQF3ciZ0($B^aFI zh;E)}V(Pk?`vTRj{fFKsdvbXL4Nj<>sHPEpbX$t|4jG%yZ$#~(73v;h_sK(-D_rVg zsiIGezjPCWp62G%0lQR6@!pg>sV%?zXj5I7k!&RoWT@;X)_(m!K0Yi@&8nuoC~#Cp4Xi>m#E&H=s`84b6c5}i9RzYqHHOpun07@xdm(#t_=R<7fAXMXny zwxIX~QkoSF-c)+ybk(G^V}lE5s-ZR81$9k0bCQhvgJaGLxS_(mlF~DWtiPtZj>;E| z_AAycyww#u^PaM5b0x;cn7lY&AW&`l&b6yfAAvrWa^I)trmB6HNPm$Ox2^#PRHb*S3X|Wn-?IN^v|D!Et+>(qHhqP94{nU@U5%US)* zI#CxuZwehk*fa3Q*8@6vmfUbv^QDU?9Riw6-jY9dO}36Wsa6jT3130N@f{it^m5He zsb$5!>wTe1MBiGSD)!r4tmR)VZ;;1lV|d~UZakawcexa&;4&(?hEA|J86KhF&)`Z_wnz!5QJ2b>=vSRCCH^tsdreEvhbqR!gpz7P%FvOP?i980Xj9*OTMF#1)GB# zWNYa?h5Rr1=gM@$>L}+*x|Ht0{|e3k4p%F=z&}o!dq7;fe#|raXud4}=IoN)S+sCw zo!m~VC*G`DVMQ9wa%S5u=B`ElhlY+8P`We4PVJYx6z{in2K8D??yEXV(&lArP=^N^ z*hgxds}f$x6{nJt5%)zK?xak2I%dABJR>UT^kxbb=Y7EeOO+ik9Oxm80a5~Sk8l8@r72i!mBW!ACZU+4%&ydx#SMsH&j}NuSQ2Py5v2t0JOI@uw_qURK zl6JNaAD|MF`@wJMo3(vYlx=J{(}{Sj<#<%!mDTw-ywq?=W}^HK>@IP=qMyyK^TS+r zN)D;cHB{W~H_?+m?H6t=I|e^S z#5)TAAm1225M6xM9j(-|+(DrP2YXPXfwCEG_Y?NV4^Rqw-t zA+gZs;Z@-h>C$6e{?pO)Y0<&`_?8fK`)Q*0~Z&>%8217(056T?R zA-ulkq)!@zO88P0g z$ouqKZpeG@YP!$VuaY$@G_Y{3yfX6AHrA+FpqgXO^@{2VCxZ`2#1Hr}{|cQ@<)17Z z)xEopzBqnI;E_u%S$H|^!QLp9y-KB&@RW-0;8oG-2(+IqriJUMV;T2F9hy>;K$+yd zY5?)hLQ8s%PSGCRU-^b*#**c0cc$#S@H`vewUIh^(Js`rF>=*=Z&*oQn>i}Iy%b6l zp8cfkx235(u+~z(rF~&Pl&bCi-TQyCbjMz97k{*3K%9)!;78e+Z8N26StZq_tSbdw z;dd^*uaNe#Gjcvu{(pv42TkW%FirMzIU~(eLI$fFR_F-*k*qzFw<9W`j1I%o0*|+z z9=?IHl7&Zmb`OsRSwN%{f5BiA3%JM&?1yG7p*4p$+8;d^c;s_mkdqr|(=k(VyYf7Ida|%if=2Mzx$-Ak?3p8P#yfM8 zlH0}%*){wC$!-5&)^UPL+-bsze-4^zj$G2}^&naimQCu|P$E`DR5?2=(hI-rg86uv zjii>(8r^-LY4qdY*5HxwbL2evm^*9FtZN{V=D@GO5c++el5; zOR~2`E4=$UdTBv#(txLpCLDS&E6<8jy}x8lCU=U; zR_0R9wyx*KTqIn#{)V9eE5$P9&r>F4e-~-SS|`Psqtc>!G7;Xx@it-uv*LYS~7` zpgfhPwUS>Pr^fsFrf6qTef)Bn%EJ+JESyieiy|wcmp>&9@5#{LMt6^h`+`M2So#dr zLv2ty9e<&+>Njjgq#25124A5MF??R$IKADqCd$a<9oKbnj*%;@$4+oh{=MmnJo4t1 zN-rT>e#m9_K-+xQi5lF&N5to#+4*fSFpRm@ti_(V){axS_f>PUMZnj+JbA(|srYA9#lnhvHf|c~o{)^?(hu z_KCdbeSRlm|!W~nb_?uB(hyjfK<(f<#jKG_C2YHA5;)O7mV zqAzXPZ)?2yR^GYr4_9o=6NjBC-XN_-)=gM3*0zKl;G~V(Xm3V+|7-p_2FzN&L{_VR zHvi|mZLV$(iLDGb=M?|l7(Acboc&Mzu-9IKT6jtK^-}X?xVgML+!Sh>9HI|&4c;W) zlW}I{loo#>w1K`z?Cog&)p%>|AKrU+29fsk_y{>8{-WJ@qU)p`xvJA0okyP$`#E35 zk{fEZ&c{c#`@Dki1M1kgcO}%0owJ8$k63{3-$VC2x2%FQ`uVbLi5toWq#IlQx;c17 zWeqUodJB7@KEW?+C&q|X*+A0q1N2cUHDcFOj|Sdgd5!h@hU)5?!TaF{Fz2FVIx@;) z9X?%rHja@52c>7FXD`ZU@#jk&D1uv}?1VX3qd zus)NKJYWmNGg)O@ZarH=W3%5d_!WG_^b}h3;EITvSBHD$NmQ&*yQ%Stre2f^1NyhV zSC)-+^YP6ZXjILdJTq~XbG%pKSC4L-k}kRfZRyJT{^&<)$~6^pir~@27GDg7evy9Je%_n;oVubr z&RV8}egMa+cepBe(J72E8@>X&#;L9r*b{qlbgJ-aE!c0A`o{PP{3FnG!7;iw1f{EY zV5M}VPK@645}t<96YGr9v+8wlQ~z6*Ha44|Ni8E=M>#4g1L+JtK>7JTu!cXc8*e!J z4WEysU}&;wojtKU2R$vSHVsQk&fk6`pK0P_XB%Me2*G6hhXC5 z3E5JYnNQv1dfpv*cyO{>G+`tKyo@4%hnSYPDLEbbkCbD^u4r0Uf<|w65QI z=7KaYtkWSrC!%CmXid|Vu+#0o@w=s&(?6JsP2p#%o*N;p%d>oqF$d4XHx&B5Bqnvt z&Ouk$A|m#Lb-w=pB4_@yr}w|^x{w9JkM6Ha|3kZpN{K?NfUyv!(3UCZo&A-^Oc_)5 ze(QSux9KW=bW=e#zoRB3IfD!2fUkbQCJ=hL>YY?B+8sSxe=2T>#QjgIoL!FobLlBs z^u=gv>FEF)4LSh3?y8C`FguuqN&+zid)f{3a3yfPhxT8i(CZYDxP?8p4Rdcwz7)SSm((^yeN1JDWG zH?mq*;E=7$)%)1n>_P&@HRa#<73q)| z@eAvFeutlnEMg4Sx*fRzS)E+hsJxd8|7qGf_b#Y5n(R#MSY2mL`x((T`-dah>ulBf{~?)jkl z7?~?Tn3gUgo|qmO@6iQ5Ls{j!_@D8JaN?%m*pJ4a7M{XQ&&FGG$Dl9{nz1C^>7A_x z-0ncoc0_SN*L!484B0rBqo>rUyW&h9OY?O-Pp@54uhzP^?({Nc-BmZF>{HNEsZ9^f zXcY9SdxGzVgfxh79*zc{Fi0YCt8ax1BrN)zauKkeZC4imEL>3DG}X0nG=vB!S$ud%=*n6& zS4+S4-{su4r-I0uGwK|ZZ1|D1=W3_QvznR`nc?s;=%@Xi$Zq$0te7&w%6G(kK?wYl z6*$93L{GToOFU)&G59pMGkUT7eDIEaL8h+%b?`sd{>R*Z_y6Yp+t2Wic98xB;sX!n z3A}fnz^Njpjr=T<5`Vw=c_%5W_o64+CRN&*Gx?t2K%ErRd6Yg7nK9it?c_zd7F$x+ z;*I09?qRLJr|Pb2>>}I4(n8k5m#meCx72d1@DO=R{25Pb<>Jb+v4oJP(WBi8`=4l; z;K+B}kG}XF^_H8mh zPX59s_R9&G`pU97TST@S4;)dE^G7G3(`Ds^9*6EVI>N%BayQxqx{Pq3xjG^5(O#rI z`x-iJ%9^4wvXJxCpAq`Py>1lyr!z2Kb~Gf-DzF{?ZGMl6?eUIo#iSWcsZaZ2fY*IQ zH)!5_K0aJhmGJ{&RjPTvtJUH>7JtdZ_SkLYJ6ddMW(MDw-^0xJS8+HPA*cJG1bzR?g)|GWLqFKy@ zEE*8divQAw7VUZ&N;0xp&xyLxU)RpPZ@a6+cZ_lcPokOVevs7r*m@d|R{V6)s{pMC z!RfJB(>h-zHb{N?W3mE4-{^kXeyng^DaHXZ!#-p5P-<5zUc?$f8@yMR5%yc?aO&Kv z=ysuG5Q*{+gArW8lL-Zayo4@lSCw`@Lc?Xo$SC8sjdB+bV3pHkIuI6IdzAa?yf2Lo zB(37f`rdjd)K8WMc%qwS;>IN_#$9}{a-+N39yE&INY6D=8fiLzgVuctf|6M(T1H-U zoSu48$n*sp2`=$!+5L~nqmhO#tr(3GD`SsUr(fB6#0Db=%%{RSH#NS<2k5R*&ynbf zh#kq=U$1gL*)J%&jz*UDW>m}93W4`gH~1@163Sl`1;$Jc?w{x8e3Rw9g6EzUA>(84 z_8~qs_Z+SMg?etTeM8PG$HXg&XFqx^Q)``g)d^lP_14&DETB3Ef1i5}KcSzIG#;=Q z*Hr!ZRg^ovpwHDM`=K~KoMZ6r@$12>$8aydNjRUEyiHmOkyiF4uGty<^YI7eD)ReX zcuXFBQm5|mOVBwJBb}6wbj+zylr6GrK-EL(fA>8X*q!@ppGH4nebFJ9JybjzED4!l zC+0~>L!w}v1iWtWmTOMy8I`}UlpU_QaFWQza!2YTqP$>;xgPEZ?>Tyu9v|-nyNjpP ze3kRfY{&)VY_oSpvRnqo@r2RG$X8r%w+2RCOGt@D9ah$k0(V72ZO}So{h5 zg9A<&k!ya#%R&bAZJ^pULb9{NgYk0V+h9|*iq^?>Csuv7`jhXGrFIC$=(<5gPw{ia zY4ln4*w9JL~Vdhilw4F=KAB+sY1w}*WB?g z{y-{Eiw&sre)?G7v8QmZ6Es%#2Txc1o)tR63g$gj0Jk(zsl3aWhJ3+Mn~`#Nol-yx_7(@f4UJ@Q{JohU$o-h74dGqJ71t@%Ifj> zgq1O>kFieRuvd7=Y`uoGg4_LOA7Ey%Fe=UsQ8W8vv8G3#9R=53;LZgEM;Wm%=$)wUL zaPD7nLdyfriF^)lOgJH@!g$5m(+3})a1EXm@CxoO6`7Wx=*~E8%1tOM!syk3S{PXwUGUyG1*%VnEQeNZp^=akszpH`8YsAX*9p&LU$u()Tz0`d?BO5c z>%@Q`b<*+_ZAQ8s?;7FFfln0r^DdTm$1^!i*38NdnFFK!9SpvDmvpT#B&u#-sp9ee zct1VPP!<*mv$Vzpm2wCEU{N?;Q|^n}B5 z`O-Xuu2OJLG*QmvrF2x~sY$DPd_LY=+=pv&3I>~GyNh%EG}Kc$8`hA28hz%z36aa6 z)?12_6cm-~9??X7J5NvT2AYsI8xrs#PSqjXjzYEbvX<{Tx6Vw#x66z-$1fJCfQqKMDIV_AqZQHUPkEz#g52jl z(a7^ZkhXdkhzPi%YX&^N8opembC4Y)>b;)v`{j3v$c>|8 zC-0)B$vcgkdz4Kd_b7gJIKJ@M^$d-j&kI*E9zHW3D)#W5IJh_{8qq=O)AHTZO$4+F zBbO)9Rr2|)>^nZ=v7)9apP|6`T{WortUN?tuZrG$hO+a1B3_JkS^jyARxv1zK0hnc z68jRpvNu3#A-z_)#{H{bIs@Z5x?~;z?mfgvbWS~bDgPjBogBO-q`Qy0ZL6^ds&OPG=ff*}61JA<5<<2ueuk^He={xWx2y42YSV12 zz7N`m4_r~h?Q5KX)wdco5vpG~i*X9xFKOhu6Uz4)Q>Q*gMh%Hy@ob9Pb#jv0-BA@S zrPCp%*!{mGouM5cGUE5c_bZ}u>R4(8SNJUMr>b~7?|qy^S(wDKwn$D^Qt)c8|pEl^>X?W5t>#&SURUOdnk>ep`D_5x!g8Bv$_cKOE za`%$lP`&*E%|;oQC!-7H7A?q{#eYEdzAT`~mO%Tpj@IR?WX?dFqjrr3cD$fmL_Gsb zTQ<4wrSRF>k+a;srIU^&gWWuq!o*AdmD@qktA|rQbJb2t>10w4q zCyp7C(~>=OxzC+yz50y3j0HNrLvBU(C?{jE;}dyH^*5@D_^Ec6=NEKx8GjvI=!10ui6| zKx|(aa%HgwmGW_W9Ln-lx9j=!*O<|8SvLR!O>^ z>;?2e{-e`p>9Y)dJ<5Aob^O_?)N&{r!BzX}KBC=Fv(8o$^{3akZz=Da$u-0-fFrU) zRDqP;gJ!g^YU7-O%ue;%$eX1M+Da*XJh_e(pYrqDSUk6@cyP;UDo$Xh7 z{4OYZojY-;P99{H4A&Ml~PSL>uv(c@GzXH-0io;KoAc&g=n!q!(FDd(_fUs+d+>*@Fq*O&UGj^p4@v>le$ zJ-!gqQX@noJ+H)x+wI)eFC{E#4^^Kay@|4XW>I{0$C`e3swJ zI}$y4884`xYrM0;VO^OmA|lw-d*g4)Gp^^2t5xT%aTHfW zE1B;Dr*_cseIoQ#I(pW-tCAbU`CP*`A{pYAww|FOA`!g9{cf!@Dqrbj**nEavY(*7 z8|!4ofAGIZdl%)Zk|bSI+{NA!Jh#g7yn~89)}^dOkdT+Ep6onkAR)91A*m$+Nn%$0 zD)W86N5ooNC~JCVtSk$V_Fk6=55N5WySt|?pQ)8smqeCxecsdG;T4xurbmO4tmhNv zJps!PvOYTvk!C)}xn03C za?a_8WV#NR&bxXvWMk`}ctiYqYsKfL6-QPcSf$lZ>LKwUSkc%uSG46U%QtEDQI)9+ zwq^7NpZewEEOlSA>Q}F7{s@%eHa{ocIV37QO-BY-joMKDvl4?@;>mhl zp?gn2z*geLo&x$by0;%5`8Mhg;=V_}DTyHOpTP&x6SsLO^oK}IJa#~KRy?txldvCH zV`>(6@1KkJaINP)57u;rHV@~KpB;<*jNK5&Mw4IL66!!P72r{oG>|Mk|p zu@|i~Qh8P2Qm`b^OwlRT7bM!(pCeP);kUt|s=pb%X5a(*c2Dr6SaI?SLOupe1 z-+=G5$k}L_pWoSK>cmTZfan&yb1dv3nLKh}=`w6|%ukwDDvwv2p1UzG$ZtLo3*63X zERcn9m;VK;kB+YRWc^HzPOgPH;?rL-9|2SqPiht+#g9`?=nmO@5m63VIn7I|3hQ9L zu8?w*y0_#qzYB(-ojUJ53B8}PihRC+=TdPAK4h*5Lo0qtx-}Rvo0}&`R^C6cA^w0^ zi8-00?YG1Uct=WZGLYo*xw_q}_%l{b&(iNiFYq1m$yl$eVaL64ufpwYO7uYXbn+7_ zKHODv;!$V%JRGp_zEI&Di|NE-@`0PEK<9l1I_^PNGTg zLO8J0rapGA6<2E75$(QM(RFf{%Ijx~bF)%BNf;~bE_lXVb;bU*%B6+fQCC(?rPA#q z8I3FFl9M@OzGiu?b#)^n{Jgw1Si^I=HPRb8D=dO>5!|^r?A;^3RMxhi%sT(Z-y;UG zW7Fx~C#*F3`#$W~^sDTV`yQGTO?mr*H*`nv_xZ1N{B|Ydp|y+*kxBL2>RB6lQ&I6{ zz5pf)x>w&F&2gK~6jaCPW9i*ePrzE*mr$%gA06ogA4d1d?&12J)rW_eNuJA z;ezhnc&op&N}uZFaJuyE5?S$d#B6%Ayxm7;uV3>2;}nQLU`41ke!PmebMnwUg->jE zS1SP22YAk-OJC;-7)76Ky?^9$yr!nbilMf9R5iT2b57T(=j6i7xS8K}k_)#$-35IgPwW$7pVsbk zD3T2uoBI^1&1t*wUfZAUopCSx*t?>3YG?9_<=I6m9#-Xd{EUy}K0=lnr?RieSSP&wF|GYbzt=- zF#-sD_V56`a*5Ai^}`|F@y7=jVE5PGre|Nm&Y)faU+>{+@$K6E>}~4z<||J0#K|?v zs_f16?~nH*Qhx=4X=g2f$BtF1IsHlM9b7j|tok#msRg;dbAKMcY z&hVU=?YJRZiI&4jn_WK{IC76N$859*?sL5n91-?8(iwhWY7|;mJu#tQAJa`GSYZD?I|BcBr6mj2 z7>@|MWR4Nvfm{KMGcrvTgMNf}VmFrQw0uD(({+N=0t*kc{DSj2-h!tNOEF#pGZ?Ti zg{i_~!-_rCAGc=-wm1-tHgy3WxmckDm!pS#@poh}Y! zLF3iP=i({1MNe0t>#UrXi5|zPyxDrrYWk*jUR=K)u|PVw+V@0#63>U7`U@7<&ad?h zC){h*{62FF`m%3e-NNa>>$9)^e3#avix$o* zXY>pP9GPvn!O@`gz4lz)H`xoDBb~dzOg?OqNz;!_*O-a{SRZmsgJY05WygM;@pr3# zWz`+)$c`^i4?bn7T)$p_Yt^`w`GSLZu;#{*Y}4;XKY$_H%1u2Yoc z9LO`hn|@QfY`~`HJhjNm z!`3l>R{}rX3X*{>p7JtUPu?^xpVce8T?3*Yx{-*Y8LVCl=xCxEB!(XHo=Kw>*;22FiVpaU zIAJu)H7WG7(DjAT zqV0548=9sxOyzuensi1Lbqs?FX)mk8$$=L%bPJzL-gfaljNLc*a!vuO)hy<0JX(27 z_s*LZzP@+;Bt=iny2Oi|?!r@eUnmKk;@;r9HY*Df+I7h(v1s&6vLy#gQDA!`Zfw9z z2g`v&B$ksmdCgnMQlI*Nd)FtN&&$PUB)T+`jL2BE;7Bu#m$wVk{{GSCpWAg_ad&Dg z^sKp-3_N(HU=m?*n}@`+y2LBj1HWW`8QP7fCwkKQ(nF$FdT2`Ls73qA3ka%PUJu^! z=hE!-&isrN2DBI%)G6u6E8Eid(OUN zUuD>?>*~8=)2ZHNJ;*%V*Z=!QZUEOS`D#1?u4`4bUUTi8971)<>w9m+h0UBVYf6|t zVR>Ti{nux|YgeCDS+E{K)}Zf5eF0H~7^@Q4^g;RouF7M{KD^s{eYe@wNd5eY^v}i# z>XyuNhHqK=gSZUc!4ppG2KQWjpe~v1wb#|IC*e2ZaHYKlNYSW~J#D4aan%jAM%r+EE zy9>4l|0})VpNsjUTO%`eY2!4YYppTg6oVpDt>&sIIp1Y3k*$OH^~@6CZU0FIMa}ik zZ#-(cdS)hqyVp;y8uy>+ z26O<9Bt9vBpnI8#Bw$6Du}swJb;&HqyaxRcdpC-`^XCQm&+PStSkCu_a|~AZ5w0-S zut%mXH|gih382?AA;f>D-vl;?`82NR_&M{amSO^aCdf<06Jk#085`z@zk*HJg<18( zc&-(_cYo(uckAtk@OmtAq#6-oG5tQ3^(w4NThGz{&I4_iQct*a5C6vqtkTJDakjAq8BFLLu%u_ z^f&MRDH@SlmQS}nBUAL9>Gf%L4j?;DtipFcii&`1-tgVTy}lD&h_PL;Xt2sP!y-=* zJmo!eXiA%KqCmIk$)qdR7KuhU zSRvBNRx=MStfp2dcd zTzF;voF}-t^^OyO$6~EWSvs|zu|L|U$ApQ7uCEABmI@!vII)}91Ulq`H&M1)-x(sQ z-)ptRm#k0pKH7pw(^e$D6^rQfA-<3P$4A9#ql+p#V-%mhWa0Q_zwoOL$Z#fe4aep^ z_#P8?c$=On@4od75{5lCzhrG^)sgg=-uh1JK;ZNa*(zDVzk9xACs@CC^7yPpG+m~i zvO37xBp19bmb6YAI>FEBzi2;@y!&<1O8fQa4feowx>qEU9{+(ACT6|+0r>_YVNKs_ zB|ta4N<1UaHgE3Qci%0ZF#Azcd$1&b;CT=qMz3758u*Fb_xD7^H@8A!T%&Q8P^)5kj`rGln?lWHB9ae}8hdxH(ibmv#0FfPIRZ`BQu3DVTDP_>ShIHvc~SiGJPjoQu6}_{L%bYr17v z+=}?o1E~ez%=*piz7^V#3^rZXMWgA=dLD_bb6&iUU3Y5f!K2vNXI%9^$%pxLZIFpq*=Gji; zbC^43U!x&T&{AHN=Zh4cxW8SCh`Dky{;>Xp7{Kpl+KSc_k63RRBtpE7?_s^zU(rvh z^prb%fcIV2L^le{NA{>`YhLoXb5q!vvQ_*XJ5Z6+dD$9rp4Lf%k}((icnuf4X{FoA z8OVz}fltWUp4aR5ed!3ujr-zL=4qb7P@g?zkWtQ8Uk$KcneV;@8O_`3nP?-pz<6>a z-i%kBpUE2zv-d`Sfa|bw@f(ssJ;Ann&G-?`f}SkTH>ljH3@SgPS}eGLbM1VN6VP_Q zqmLn~DzBIm%S@KJb`UdEBWi#3QnM<}2k+jAy4u)HJ01UxtFxww|4#Y}5Yu;gz`r-I z6w74|xY-V#rpevKcF7R+Y58u$DB}q?tbwseY56Ed zUe+GWYZIp#s~a2GZ`SKQ)&(yS3DqYMW6gSt?R5U{%pb#&imD;iRbM#wR*37F!f`av zbbW~6ievv9x15!gYXE{88&MeE#C&tVN;W>grfRq(a#EgF&>A=>si0ync^X#q2+Lbm zH>Z(_k}EzBd#`!hqHmczN&Rv4w?8st!dvHzCyG6$CgYGOq-Ip&WhA%e)0Dp0TbPNi z{k$-4N6+$fXrJVjww~noT~4UplT+SwJa3o%ZTSe!ZeJN!;uTiX^DfFIYx-*Q$v7hxc=jH~gW=q+*c1J#skN%ufAy04@PfP#q6E%gcM|sH>HsK7-San}w ziDI{5z3J8J{jg$vwfm{_Ib311bm9SWfLxoCk?1}$%js%d^1>#{Bi`O2`serEJ8vf# zP3YRe4VHLU4ACom3Z@27IwGtEb^)esO_~s%Hb9v}ed`%C;F3YylB6Wl#auG|XE$?`c z8jn~G9ihb}mXNoU&K;4PZTgm?hmark8RoukKy27L!d~B7PJtd7I)W3We&IiR8KgG3 z*U9$GOV4AIHCw#7mUX1Nz5HvQ>Ya>8bg-PQLd%J}f{=tu5Hut9q9Nv8tC=OOvv#nY z^mF8@G3#Vt$#OowgLD@!K z&>42IwJ$EP#yK9i1#(nKX?l8z2lB~OrhEQaYq=Zo2b4H$J3q_W2c?itNXB!IK0&Z) z7vB4*bO^@=v9fRuUGcI*9tZr zZzYHlJunKMRX$rz-0U;*=6#;BF|vTKz=+Dn;=GY@0tJSnDVk9+)>lmB(uiSIeVMVH z!h%ER6K`|A^w}pCgL=MVvKRORa005A>kis}KJ@4=+REz+`Ke*%_J^RQ6zTHO+kEzNUN*90$98eKmQq z3CaF~M@&^+cw<&w!+_d8B40oR?KP>Ye7XGLE?gyR0q7L}mKCY)UCIsE-)(-!9-iDf zbG7sxh^wg}1`&LPS9if|ot?-3+j}neskZ$EHnv{h2T;`K=`z2+{OP{er$;5{4%C{f zJj+$6CFUyBrGSO;>BLWb+NU8yMSVS2P8`pbzvs$eL+}pYkT-a~Sx@)VtzWQO*&lNC z&o{qqPseq)-(l~s@a3P!R~N->ZwX3vu2n|k9t1lqz2T(jtIhHksnHXU%u2@RQ!bf( z0%k34l)S=8FpiE%V%hb`L`FTK$*K^6%Yg<{swyoit&*X1)`MLWD!bUdpS{!!5b5yN z#tF%)n{nuo$MiJ#hLfDY0SpIy9u>Pfa}8Abr@aZi=|4CTfH%QM78f$#PYiJm;*DnH z8SU}|-wodmEYsR!GU>)F!Ta(Y@=1)yrw*?ji6vhZdzbsWE1mPY*e73ry(R(@nW$OO zyVRI^wp%1Aho^FJtmSI^(2h#w3mO{~YBf$lJ8R~Q`OXK>=-u0$+t=-|_L+)^&8K8H zVn2v#`)6iMmuY{Q2oSGn^KU%4I7A|&DTB)@oRj1FEljKns&`=PxRNuOaQB$2ibk4z zib8D;hzr02Zw-q_EhS9m`&b(8_6C_dz`JNZe_JxxLg+Rk}X>~-?EbMJXa*-_&m zJ7xDvb7iJFtBbGT`GyPLDq@Mcdi6JYla9W{d>7IDCf9mP{;Ag;T+3l6b|oTGJIW3% zD>>6);vc2$Q=>psPOT&|Z+v(PgCg38tHk43oRFp3gnEveQceNab7kJbTWxP+wGQ_l7L$EzPg#+0_HnwdtHV52 za+W^NI6=*JWM$x!9?`)$zC!iFX!w^Al_#;Rug^gR9y{c;U-Gy_#ApY6df9U|ukt(U z67_7g%g?u8Mt{~hk_~H)$9@xq5ybk;J(BLPW52C2FiS0FOz%a!pzD>IW%GN5clW%< zlMZVsqtiEUTs3_b7<{|)T@xq5yFOum3-5B3U{F+Svk1ew>Ezr??EzY+;!*=C4~@>g2(T6W)V4%E7Udwxc*yuYhXN^YplMyeI1GAtOO zzNY$Y7mrA$QJ!F&pY!+thxi0D9}MZK9#AdL&RHTNx|i%X6|vXr%Ef^Cn+-p_=bxGd z?Gdj}tj{;3bh&qXKPTqA-d@yQJs%G!)=evS{hr_@AMc&8GXoh-J;V>}b)lkNuHe7n z`PG~@^guBdQ)>?IV^lIF0xG@RJ1t=?-?|c5S7c81B&UX2u;5pvMSGV%z2=q)S=t?f`~oY{vTp1I zc|l~J-jzJ(=)M#Ca70c_3^NSdQ7;i@HGE94TdYpH>ZBjcbiVuEOt$=4SrP-ml|G)+Aog2k{vHq-2Cs$F82OY28Fg4gD;>LbIN%I?>e>*F;{z zoYKG0MnwB{-i+@}2L|G!UQ=p~K-pueT72`;g!xQj{-D)bPI^hqqzTqKC*!?#m#(e! zudfIO$scnF;g=q;f^=M@+v~bau>W1MS>cyG3bUrAc|fjaoe*kJSdr_iROf!=pq1xE zFeIX6>tpoL*xkx~h|=@#o}U&imnXRHg`_^{nuJE`^(H_#%O10~`u~;nWNi;09xhHF zs3*qx>se7GQ=6Zk@CY z+UJ9DtvM__YIGKR*iLCyctTD7YwJTg4eA5w5gpS-#lB?eAv!GD+%oB{;>RuVR^SnK zgsWn$pVVTUS@B)!!bL3ciqAm1F7Ko(8naGMw*Kp}%&X{P@_9c-TmIKQqoiO7vs#vh z4K6>CV|tU?rizmFwCo59FDErG6=#|kX7zZ6>3O}s9e|OZ4>u)`=^WWjOTxqRk=qqQv_Jq@-UlIQ{$QU_7y8f=q zT3Ny-)A)o+ES7I%O={n!bL9CJ9~ftM{`BkokH%<77S#+T=r|tl+TPvp7F2eA;)JYy zjyGXX*+brjzxNogd)kRvTilWRjBeB7n8o7r)b)sK&QCaYmzxgTp~ot*VNi*g-LE(O?7fndxRf@7uEq*H|sh zY9Tx1Ph++E6k5L{|8K;wK|h zRgOnhI(w!k%JV`deaaSjeTpt8O5pQX6_H*4Gg;h3-@K2N+RjG))UI1>U44z{s62zG z+TBG4tW4$(N7Q2IiLlN=BqAlr-M)jxiZ$zd%Gs8gSpPnuz9g)wJb|nEFYn&pIB(Q^ z!WN@-FGYrDY7~jqi1(ABF_TksbxKp~*-ln;O|yONPe%UWCsa&`e0_|cqc)}Hl~bUn zqhCIHOPPP$UfsgB>N$c8lJVw_!R==8eDjLGLb9TVt2#3)b++~K{z);~f+ekG$2tGS zMbx8m3Nsyg=p|B~vi-?8bwTf{=c%5r**&~ke9h4f79WM&aTx!|>-5IMQue%6KNU}! z2ls@XfKNytr`Xi~mPDxOa3nUw9fzGQbTM5EuvRzXLp}CNKN6mPelABxtr;%eY`;38 z=K+ko%%F<4!)Md~>y`S9Z$U3{4-PrQR3lQu6M z+rg4#RdmhDBp0P)hPlr1wVGGpq<8YmaE0ve;|UmCux>9nB}my=bN333*lGl)YKs=r z(zIRP-_b3T(__U#|RlOT6t|01TuU*GfMuniorpXZXBhU%umUyd{XZdk*#JEHW) zm&HNX7R<5x&hPuQiIicPv~<{TVo=!5*s&z-lQfn@Wu z7x~;t`x)3$e&!tdRr}eh{mkzkx1Sy7XMY2cr{hojzU=vOKRCbk0sCXGLhD+|to;PL zZT}wVJCo}xMsamO%H8+#gpA?PnO8E@&Tu@;UlkWwj;=w zRom%DhSYOU_mcbTw;Id(J9Si#db%zfNKE+_VFP%M&?TaOGAOY8pUE?o&$+^a4_nqzNHn>~#mZV^x<4>YxLLBSMvMLCKl?%k2Q-F! zvtBd#MSO-8F?K~<#U<(09jw6ipYEgsb6aDy)7O!1*-`W_@kY~vJPYTSoX+e_aiWNJ z;&GsbCQlm_cGg>PcqEJ78$#JQ!q4X|*mF)$s(`ZtcivlzHbZ2^|9soBhD2v-L{^a% z98n!oz7_$W(py~}_7OQxHn=<@SQ)Qo42pC6|CMcrL7BYGTHhA*sZ1+9*UF%G{O?qMRW`;`e4KhE^^BY`rCJO9o*Z(m zXjwyNB=dAs`fz-nzs}^#c#}HsE$7qmtL8T+MDOzw8dfPAs* z1y2FrocA?rdfD&+bFOu#SxjKH!(-~vSqZFZp5{250roe3U|$JOM`m3*y(xLBS?|+i zLfoU4<-i)-AvF62TE>&GO3AnZ~)x|Ezshq4cpe1QlN($MTa> zxm{2BVQ@wIzV-T#IjW-G?<+Z%k*pHCWNxc;y=zFo_@l#kf=tWHT7Si`@xJIlzH@ zde=Q!taM~ST4&W0?6bDKSJ5X!S=Q=u3G$h`!PtCa!@*w#tNR^fn`?&kpf@&9+nMbT zTcdffTH90DP{aqUYR`m^{YEZpYL^%VJ9G=aL7P@v-KYBs^DgxDpvx>Cvm6q*0~6K~ z6cTB%v!WeP!1m2@?`+bSh;Hg#my%t{B&f=)?7;>3amL>T)8%`ObBbTJB0>?V`UJD> z!n*Jl`C2E$lY*qaBGc)py1V(|q`+A2*DS#(4&Z02LpN8KvDhRnzz@mqQr;qSD)zmlci zJF;Z$>S;QPksQBItd7PHXlqTB)%K7lSL5NQQE5{hlIT}SrPQ(e+OGcimEdTMwc4VX^+uh?lBgqogVMBLuZu3+emNM5yoY~5of(B zQ;{cA&Xv?otM~Pk-)^78Dl5r_yxT?Bcz)+b4D#!pvO*;K30qKmC01KJ zp|(zVuD4FX{CO(&ph+d?^Ey^!ULf2N_Pblh@ci@d)=ylmr@zro1#AgXktT_g^wPO6 zZ#@6gQ#Y6L;wqn!KO)=0>Cji~Gc>UeTUDvS3BhCg+a{g;y=U1bPn+DA*d2OWq>FRw zTb_LStaCGRFrnG0Ka_pe@geRw%L%op#g1+r0b$P*rF2eV=c1JF;m*lLp#B#|rs&88 zbIA_ND_^%aO$$@$mwd%Q(9{uQr}Vg^F*ym1SJEm!PFUr{qvVd}+>&o#1$&~;sp6AM z);jDZ$2W`TI6rnbD;Z#p(AIBAU5gd)mJhc+wvI{%HOs!3@YskIPZ9<;t9?c} zzgR)`*hyM2u=T)4zoKV(o1RVIqYmJZ_~DZ4R6m*O9Ykk9f6h~5r?er~Gcvbu-nYDE zdazy0JidF$#7Ausd;*BY3G6|ur%1W_k%qmiKhWwDVL(|UT)co* z^;wZGXr5UVing#6?x8gzOuW!CO|yggFX z*PSlu)_maYbe|s9^xcn*g;H)y#;Ix3*0mOIQwdy)DQ<|Q=-er74;-15}-Kx>m( z>3T`|`~h|)(w)p)n}wBllu5!qW3HFoT0GmX-1cW?qP@BIXC`}2{mc*VF`1AR;C#?W z0B^r_O_4t+9?#Xrl@gWowH1Y+;?;c1cEDss{jdwF2 zxx4v~MS0A<=9F1RaM4~4jkI(BEiCu4EW>jd)el@{OwmxH$wYu!=(A-;tyQ?KZZPP@ zZTv9mo16cDhFzKWqguZt|LDQ_*6UGQ>T3ITnF_)4aHj8GE#KefRDw|+-uez{PPG*O zwm1EGMfdls)32vvVoI#_Pb?1{FOA+?T^phu;Sb;mukcu+^OJ=}Psi?iHQr#JtL>4d zZ|EDdws!RAPZ7=5>9=u&hGr6vN!Qje*wlC;fY^9s<%o*T_pK_b{L-iK$f#veXbf*_p5@VYG;51YTFFi^goi>wD}dS8bXG{f@W59$a#6j`7n(ET?I}b{HyeQ`XAP=Ed^x_NPRpuhB-I z(lLoAO5b~>dFtxh>V9&v!0^?UO};t~;pz}Q=dC=Q^o+=aC$*cJuh$Ah+rfKI4v;sY z3KQ(1PxmpOtiHi>YS+q6wy50e^!Njxu+@+CpL%A;zL%fZJ&f_ReJ}eHRjjSvxO_y8 zKRqotDdd2k>-*_(A>Fh7a(Fx84@VB-)!+@WM=frUhuUOw%@c~9L2f#)r1}vvZ5X@m zvjmmtI;WpdnWCFy%jJ%UEOlDOlCrZv_9l5k1NXAJX6kD zx-o-B_HN8ICDyxWlhy6BRR-g@fZ0G_a^7C~C|rnDP_B5Ed=?*5e~sycFlHhvNI#Kt z+t19cm}_5|IrOXpuYAB~z%1#v+h=F&if<-ufYlF z6`nZ(pc@rY#y4x0FbFl;t!(+kJ8tq}ud)NIpwd3qyW?%yk251!Y|O}Ru&;Q-x2a8L zrM;&y$+amEi{0)+MutWna9(ZQY(!2*_rQ>$SWl+reJw+YvKr!A9pCg9X0ajBk(rCu zi8~c<#^!}aWKIaXNqq;kLql@Y^?hVRgRIW>%$Th82{q%0K1hA|Ot$0#u*&wr0b@W5 z?7%Ch-%Tp2?8Y(BSNwUj+TaeX>vQc-d=g?u@w~(S$M}@S3UG&~LC~ z2OV2dmBk7Ym+Nu0Sbykp*%~}U&~Nh(c6h`?!TV;;F>i0zetX+TUkv+E(?!h0n5RtD zC@XNf{nm;wv8T2o_B}kHA_Rs&a?w|;n9~DS_=IqTT%VjHa(ZRA-593{#n@x`V5>_p5+jGG+ z{|_yP^o?wkbsPF(dHa6O$WrEwMW65oJ5!0bu6zJ{Xhd3aWi(xx4KtpgJGb8Vr{ZMn z)ZVgwy@xBY{wJuFb&x?-VDrNh<5~MTHm@|~piL8GH}xOvu)s;A3n)ABg4Iav z99WfT-LM18J?1IDKojzW4ZrZQ_plwBrioajk?b%bBO_SPYWbJX_yh zOqQB+*$R54YQ1<%Xg#Cc#P`AatCw}p5rKXnn-|QgaCXMz32Rpx$DHTPeXHLk8dMoG z!%wwU5S8rpa_^2YFE(p&lIo7cF$<R ziT_o&-V`;1!M5BnJxa9yKT_FS!%X}O@+xe`8F^!LCC z)Z6i|@sRZgUQvbFEg)Vi=h+S@Z{2S!8f#9D=JY{HG&0Y3$f8kG_I$&N9QDB~CXZ>i z``^FK9`*Qd_LSrYweA1Wq8efhBDT|slZbCmbjI86Jw7JphbbF>pj{UP@YTa_wOP5o z^A2|6L0bW2#}>Qy7cQ_%H)Uh%mWjS~f*u-YetQo|zIyl_)suAdcB-2-KzIMb=kcJ( z3w`G7Baz6%KtFTG(wbRK@&Pjp3Ow;Ga$-j8kFBT?Q1-D$*rKu9#G<)&L)X>NtU$2i z>sSJMX1254dbWEyM`2&26uVm1;Whhw%|k8(BEDX%$}B&uUnOFy-A{d5*X8j-(kn`S zsBt)bp|tmrBRj107$P2TM?`#%JT0I7rqz+L8*qqb9bc2vAP>b{BH5nC1@zL=mnEBH zyur+y<^}01OinY^QMmHcQSmI26<5Y3B``@XB>oS(_D^F;|_=B>k z-hm%q85LV+7FK@VaP2$hwv2n79Qw+hm0}mfhFS`1kB2@;tc;lQoguv zSo-{e2!oYokJ0n|?Sx*Z#RrcSa`oVcaX0t|XV&@QjhbV4bN`uIwK%JbcvJY7MqTjJ zqPhBxbXHAazs0(!98{B`#lK~B<A~FdUg|!v;?r=86S-WA zIBt5&U~|*k#BcX=;0q&jCMvRrb>xh1yE4@wtFU0AVUP@~py-i{OcidN`ZCzJt2svy zrbHrh_N}qxhnImj!gx$3gR8<5A|s)H=Fi`33S;?_zEeM8Z{kn32>aDGJ}H%#Pw=Eqz<``% zY$W8&Dmw?AcCG<6^QXuqJz`jWbH){)*u&%`?3zSo<~Fx>hzma0*<|zgVIlg{xUbc5 zA4x)5=Uuf=>j{T1_hq>s4Oa03h`3juuk7|-@4Ur+zBO9LUwF^iuV^@2FR%mnV)sAb z)9IfaF3%pjYPCvF>1#Ds3x+OvO?2Xq+~d04s9pJ5hYj06>`0G=whK=4;jG{T(&fk} zu660s=cbp5%jJqmFo{2|Vg9ve9dW0|L0y%|w|dw*u}H6|v}OE|=#_AU<|{Av42;{}L?`He zBBn(Bvz#`O5kJKfH5y{p$Kp7BXu1Wd2)Gb&IA?d%OuV5so*F^A)~D8o+)wLO4?|{X zy^$>^$}=LO%2i)1EU4c5c=W#F^nkQt6|XpDAf0Hn))62q9iE4J=5+hX8@NK|hM3?5 zv$kn91ysw$u5i965=ajKh z^Ug~65wUJ`-LmtR5gOM$z7=1elMqU=tVmkdSJcU{OPCo~D8YlM#f2?u{^1tYiGhr@sKVUw2(LAA2SEquC zSm#XY%SVdMy0!?q`5YBX#DDC03UtR59j8k}v*28#@(JXMv}s-Im2El?Q*++eEK?)U z(yxgEYlofWf{&+XV7+MeDr-j#qFSaHbvv^sp&J5gZ&Z7lea;!I3}!qS-iQ?({Eo^k zficSs0Mn;)SI-@AhT9~+gX-wWN?jU-Bki?1Q~hv9Q7U@+%EGCq)_5oBoz;*%m>l?| zud(I4JO$o(fyiE22pudz9K44SZb1F!-^xz&v11`VP$W2&r9pu z_-pMX&pPz=laT2CD%YFtcg_k-ahB}$=7Hc%>Z5()Y>8NCd!4=@kH2fBKhTn?>nU4t zZ2}A4538Ubj~+i`%Bp(f1l+hh7cimQ@3d6$l20Bw0YHs6$<#H*6sT-p>G`>b-EsSn z&SLy*tN*_Hl&&B-B;=INfn1+lIVF@Kuzdp%0r%H zCpaVLEi4C~%GtPnF8J=;0w-n^^_XkN>)D%*F@$3b)^HKKf=_WfsGc@txqEltDv?YR zRPyCRRX(xBzUA#9OM{EXJ=KKGiexXox>I>dV#4r4hxX&MJ;(TTdk49&7x6pzJwKqe zzMcrn6ObdyDdB!qfAV}`7Uk*vr^!K3VL2i(QO;BNDi>%=xGLOBj99)X_e!K*ys@CW zsq8UVfaME|c3_3oH7+MkTTq_TC6Ro?t(jcz1S9hW!Rf57@@?~tsiL(i;=Mcj$M4@3 zzn45=$i$ zeD=1l@lfy~lQGu@_ng0{1g{u2KKbF*Bkl}#Lsa9*T9X&g`xmUMbF*qzjwprrGWvbS zPIq*#(r3^W04RJq^)p6%C!)$#Hz=N7orfo={KP zRvSG-J!GBeMQ2ix&85=LTJ%?U{u4|9@4cpxa`IqMus)^xgVVIU{2rXaH`aqav?kRU z9&RS*Fxn^o9_ttkIc%$BL&mgM_88xA>&5cf#;gwH!PTa{tg2Jmgxwu&yiM%hD!<_g zfyyyOl9OO<5!}XSg;Bx}|FD&5^j)Vk?bvEbiKxqxBhTm#yG1au4g^k#FHe~hLO#iQ zXyQsv!BeU&OD9j6>aR3OXF+qtb`BrxE`NRG+i_()p|^Km%+Sa^rf;Kj@1C*>oLThz zA@!of8=ztGdq+&i-d6XukGF^osBVASI)o0tkEvmAA0wv?jg>vuy1J9YrU%kpYFLIR z_GPf?FOluD*-m|Ry>O=7ee#Az7-$9=Ezwk+@rERWxf}6RR;`e2G}U3)48!+b3lYtk+_2aiKb|3x6@;@FvTRh`zgY_(4JQ5Z4-^$y&?Q<$6 zTYXcvGu9ObsqKC6IZZw%zM(ZF-&f@As^9yy@a>_Ar@beOpOX+?!mz$Qa~iEsYsS7| z(T48DlAxtz#)eu!k5o5wQ+cQdQ*goVi~p6g~~LVPf~x`I+7k=o@{cj1R5wonHx zz93IeZ+#CYwZ}>|1}&KmHC=QC-NgMnOs)KhwWJ$Ah>H5Y>fCq^B|bSYFmGxP&7iUa z4J|>3#oCrmF|!{%CX2?tA7{qOAY4c*+5s$&y*`1B46g)DrXJw$u~e;NQ>;+8=OssP zzSg1WBZj3wEf>%N3>gF7IX zEnVa07O>0djJG&e2ph-@1M&sC*m5jwI-&fltC5TG6x@G4tG1u?Z)e-Zd#T!yriZ)N zWJcW+5X38TMxTqUtWv)2C*bSf5&e+$vX0_gMN=Ku@Mx%mB6p;%*nHuN-8siXDp$sl zTJ1HxR?-iL;L-{Z?A$Ydk1ZfZBiG0L3eOIbqUK2Sf({?Pf_{Ip;>lFqYw-(`9~bSX~^!Zph$nO$q~B3iR~#nsnjYj5~?<_DW5 z&Pu6Ej9-qnT%^pRriSCT;zMl-=((_Uo#< z{@rJbpGx}7HLYtz_8UfYRy8_>I@FUpPVm4sP{*zo71LMFtZ}Jmx-|8xJHO?7ukK9K zAk-aV(nersuljh@(4ra@x+T-WHPS@wK7r%j@`;tbQ_3dsqT zq`xr&!nZpDrT9=j1N(d&@5g*jorHKl)a`mk=aEv*XR_^Q=6&^ekv;35^_J*LTKNKl~N4?8@nLYW0*kXMQVhOoE`hnpM z>dhQ2zKs;u%3_saS$xOmyG(T%{FXVUAo|!CqMW)G*Z*!~?mtAcl1+hMQ!_J-d2X^F z@j?D`gUHDm6B)B@ed&CZc)jc2OLCyQ%V)RHG`%NX`3wzvS?40717O3pNaf+%S@gUM zUxQV`r;uZ0rh_~nUhA+0+iil#=5NSVkBG^*fZkJ;Np~x>8|VAk+$U(yY==(0+jNMK zS#5T-W$r-vr}+haM|C+x-s z$oZdJAd%5R$Nx{Ab){0Ts9m>KVe*iA9z*Jt6CBQNPc?0Lugb-If>KT|<{ zJFCa_I}1+;v)a#4cL2YDOzQS2yK_h%Dk?(wxu@qPClEb=$LrqsrbFxSw%N-bmo0W< zJ7p{2@dqbH(=0i^$@gkcV9&IAkX2SAs8o+JxT79xul+kE-*m9JT)v$4WoSkB`V=`> z;j79w?EXZ_OZV0iqvo08PxcOjzF}ox7gJfFr?|UM8ax9UJS$#b_8N7q< zSEp7Y25hIAFZdj|%oTY)oc|IHA{xt0mP9VzY0tS|w}ry^5xSCjz5E{|>v5dE{Sb=SECVg%!I&Wu6$~$m|t& z4V0f6cCdwKix(g#-?}s9Gj{9?z44I@1;{CS;1YE4sA=PP@YEff_sP>_ZSj30F|BvF zdVsD)zSaKX1;`~CKfKBEYPxhWvkuQk)N0=UYdTHut(?sa`}7e&E5iA|e^tK5qMujp z0ZY&Q#rEdUM8zOzvT9GQ8->9o-jFB1&$KYKTzb^Ab2WEC&B#jM8umZ* zn^&WMCZf`ilx7<$B90wzofq_Q^6$hFy(=VG;`ugK2hX-*QBF^{VeCdRfc0^h2%QyG zrgqr>MA+kmTVc`8xNDnHC`;tuvc+YIlH6G|Ev#y=qEDE|B2#7ue71#QU zji10i=1Jx?sk5iz#H@8+9?vDhwgQQ1J?UqWZuEO0Gi!|Pj&-oSm8}N37qavipbmce6;$JO3R`ny&mJN{sUZJHE;Bt29>^!SfVY@Tyr@jq_4+qX zAnMPnH$-$$??}ZByJxlCm5inGfV%Yf4fHmBX7r=Q=pKS(MiTopggtb3&SbyK;@dn0 z`dgm)SjiLCCv(lPiZGxSbWUv?9-qehvs>0g&@-&2oCmWk`j0DcLhUPiO{WczqM`lhzN1GQZ}J14 zN=e)l=m)5P(4is<|$T(WR@#C7xqIA<;5wKL09eKF*Ni0FbR`VGB4yf3`f zd0+9dQeB47HF)GL?*Ol%Si#{T8YPAC8E^dzz2BcNo?g8ppGgNIo|`xo7R?oV4I82| zO`Llt9o_s^tzNsWI~kLXI2hCkNsL4aZL^vt&Yjn z2cM|ABlP}qnvwK&%G~P7k-zXn89R;)z^o&^r)k4nUbAMW_-6a;aS%~Fp?xFHS!YZ7 z09Tfr2zfu1bis*(Mx5G}L*Fd++3bjSh4&|tHSy5#uBP+pemR#~&DH!;SP&qp&;$EF=gr#Oj&Tp4(D@0y-6t~v*i1!w)%lqQ{!lYV@dIHl4Wl34uGJs({!*{*P%)R)MuTDVYtHVv2h2Gi^Q#hjR2RP%2k14|q~MAR z3X^;b_tDC0Cw9X!3mZCofOe+8fP$~BXL?5?t?cnwE&PYz_A_ndTMW5aJlp<(&v(r; z?L&+G_}yBi6#Drs-q2+%n{v?DQ#_+c?)<(^jw$pcdVTnf(JzhF(OI0qJ>sJrKB#Bu z{;oNr!qS7?B55y`KKgZ0cjo*d^fcNjHGE~!k~<{Bfwrt}ESy<8dC`rfOKGB!uo+_Y zm~RtbuA?tbd?Bj=Z}np1$vhUR=%({QTMzA5#C!cDCaY3$qP>;3elu0@lEbN-6#1*X{HoihQW68G4lo5|EsTL{KE)`cv>>pX|y^Kd^ncxlS@@MrV~W-~3D4rp^jTCOM(*6lfan?90w&a$v; zHYy$!{uf;qf=cxhCGLB1Z$H_Osl(SR5DK{+X1?o29<1L_>hzU5Rn?KjwcuNi1O&~<6y zZPO_^PnK#GXA?K6f3bXd=SOA?teO_fIwuC_t(Yh9bAESv_kbD(ask1XnI3$HZvH$m zCq;j7^SkXo5hMMy{E7Jmt4G!qn@fteteR5-d@+CY4scBiG)b$E;6(iqCwy%@amzRUgXg-=t?`Uzp+z zAWcqZ?GXq2F0K<9z#pgY2fr(=BpWYYiPCPxU0J|FD8&7mEDXQHSQP&ru3a*=#oF*` zcjJBKb8~rZQM}J?B_CJ-HtHNKO7`xjIz`*hK*q?%1;1MBI0f^5^+>zG+;hI2$vV~$ z@l)qS_(rTNRm^mBFRzx~1l1XxI5L@r@<#Cbh>9Z3N+fI>Gzev~ zGV8Si#35+7^cq^hLkprpe#YzJt37^?1;mfTlBPRIRY|nxa|cT5n+$b7lGQF5FsEgA zXK=3Y66KL%QQ5Ipx2~_FJQ^Dky%ciPZDi}(-T0-4u%x~VZrmoU1zn%CBItPYzd9eR zGMYqDut4p2@?FLFtVSfVoo7%tGU#t(KccJYmc?R zY^t=Tx}~i0+6rO6z9}8C04Ix#mJX2Ds7KU#ev1!tW(L~XJX-cQmI{wH@mG)4e7a;S z+?TSP`JA1$(9fL2@>2ET(3)_5h?J49e&TXHs{OUw6W_062ik)ho=3@Vwto6fpS{wU zncSn*OR1e>GhCUh)D~LTQ-yZ!&u^vG(Zk=EC-{by_$;E#ITBOlsXm{E(n(+8ds9vXFW}ZK@xqtx(v` zs&K#E(-A#63upG-Q#y`0KbQLCJhK?L&t4hd4LY}vdQ3UpWuL|LCxnCj^c=lQ?gokF z@7Ac3-O81k=JW4kGHPE9iCD_HX_4&8~rGge7e`LiGsqP0e|#-;6PXX%<71*pOO7_`$8?29~Mch5P_$ zB)KPaI9@MW@gw^CT<)QfPVk^9C!lXWW!QS=Y>K=`clB_;!&PR*Vngs{{#a_SVDTE? z_bH#3`2S~*VD|>$>ZoqF2VI6I+f^sGdr%^H;mX0Cx?~oHwMpUwG9FfsjU}fP~pUlPjqbu zuAj#4ufSsuE4STylG&;n(l$|F(5#bKto=Z{jwI*mKBuQV(i%@i48Xs?)$>`txbus- z-{mhG`IDzmQ;JyimDtl~+d7!DSRxCD#tTjJP1PKOk63%piS&A_yxq0jlNvbaDgADd zug;?5*}%519(Ju-TJ~`0{b0+xpt1;|0KemW^^e?f)a8HzTW=}I5ydK0WtuldBsdPK|!XQ0^4od@bZQZ;)Q z{5QTsp)#MSvTw{Q^pP>C+Ov7u@gcA|kF2<@+;glm_pC|s6-&N(+`9fG#t?79pNBDY z3R=$p6M1UQJoCg}E#ml1RE#yvpL#5Fe~j!(x@sC_|W zr?s5IT(PZ!;OQ)?=rXVSL%15ba z@M-COviSrLR#vR6Yo4ZM)7CWAA84dzFIfL>f%+;|WR)ix2#nb+=GTXN0?Izm|gviv01^Bt#ixCOE&gMjucFg17ez~uXfcPqn*MyZEpr% z(5AUMi=^ElHh|NB)~Okm#&c>zI8qD6;a%L!srK^JZDyewxr`V{SRTQQ7^* zXk_Q&Z=Q8_7H71&s=mL4r3|C`HC8s5f1V2)(PpwWf5RBIPSz|x?CvVZC$rt1@8ZVB z|5p#jtF$i{E0V7R}bD=X3TNd6~qE&QrT}#!TN;8 z^2%FI!u#3%nN_7Ljr`HA$u9lv!HdnGIQKh?fhXOdd*nOecc2O3SeW&m@-j_|>~{lh zhqmk3efd@B2iKZ6jGvb}^R8dJ9BDoMK04dXIsWr{{ttXW{0aSSHxD`rvO?$xGa1Ep zUG7#TXT0BIrnIz*j@jqY7qeaz|EfCUxRA2KywV$wdRk6+bzz_b19rJ`Ytd>;`gcC4 zT%3A!hdJS{!aHKF^pMlLjns}jqDU!Ts+g`)>nik&rS?QWQCuh;8ORs9dRW3pwY3Uj zMOMsBGIhLsv-z2OQjy1JJD2d<_sR58!@7OgYLQM^O?gh?qN&N@3CL5d-lt*d6QvbW zySiuJnw~)eE$1z@uX>1LEVC!c+MOc3WFnl@#T7&s;OCXprc>(|I-btIbGSUpzssv( z|I#hD`*`#!tT?~+I5sPFyCsYdE?{0Ff;7ZSbk*dLDEj% zY~|+j6yX|>sV8=jQ|cat_iL`Do~&yvV({a}q}`9tS9&)6?6vi*j^}%R7x8*^`|!PH z)sUeb-&&kqfg5k zul@tOqqQV6L_edN;_(@IKXaA9Mvqb%YIi<)gjaS<#pe!UnzsX;=8T@2K_`kherVVG z-K~Fe=Ix9S9>}yZNK_`pM*o6#RL_#lbXkV!^k(sy^&cF&Tjh+5jfvjzM0KVwHp6$ zOV6e2pU%jy-uNwIU;7ST8#{`X?HxB`ZP_WXq5~{zMRTPqzNCws*s?uI!bb%qS#AAx zJJUnjZfOm7MjYK4E#`Zij#!_pyLNjUcFp#m@UPC7Cv+BUnbP6armISPOlt=Y=~euS zULfTUAjMPkTDiZvcVSMktkW|zP8qt1S$fE6&DTozE#rM{fh&lmtW#Cin$<~ffmm}+ zmTWq0f3ml%0J3h!9?_AzAa`WV&dc^T?*<*Z5TXFP2ou}-l zEQjWc$zJet9lx(hyjM(r-c64Sb$IDwe@1;=|hlt)JMD>Q-VCN`8jd~ zl4FJ?*D9YR-z`h-XP@VH&h4~&BM}-?ia7z+HQu5!pI%0EXlp)?9f(EC(Og_5=c1~V z*4UNN^WJ6M6P?g1_80#@Y*l%LeRk0-nr$62Cj^v;Sbv^6x|*%pc&de>i9vLK4($<% zZfLiAxrUP2?U8FZpQuUxExLTO3UJo8S74xL^s3wCUi8_ktiYoCiF5_aJZ|%bbnl-q znBtStUHPZt72HuO{fr*uSNpb=9p(PsnI%eZGU!#$j z@=`yiJLfNU^9Hs0z!U#7tjqo754Ve1!E6C!=%4n^1ff@+<`W*?kjYmQCU1##J7yIo z-yjoSD^6{311!;L<@7%}8UJVbLwahv0+GHZQOpr${n*pS+YL%p5*S<1Brta;W67Ia zsh6JQi*MkFfd~F8oz6L=b_H$3Zo2>Sm5Ylu?1~jU=y-I9t5ukyYzNU<>j}`->F~;` z!ef=Iu-7_39c-R0zAbhim0x2;_%8m7a#bFjy-GOMy;_77;Htgndo%{UC+zz_)#KEZ zr@x~?&>X%oYhIm|%@6u?K9zT@f0u?j_f59{RI)K46Qwb;f*n!Uf>rJ}5mqKck(K4l z8m~mQ@V0aQwI|r1H{7>Lb>7PJEaTT_n0y0eWNnw&T{Eg?=1;*r(Q&bctYznE5szOI z(Xf_2A+h|AWlQOdVvmR3y^T)I;q9$Jt>00HU{Cvb*SoRiiPO7fMYGdog}Q}q+gnfL z&M!$}qR5mnL`!}a3G8u{1b8_eMef0)$W!Gh_1mBGfyWeeCpW5MxW(R$i51Pyb8+<={n}hX-9V_Y@?^ZhyFIMlHepiWVc zQ=^W3J@l?wb9)Z;IW=?73ZLM%Pbhele>-Yq_dJGh2d)Y)H?L+>%iE6mQ644gz_N?%qj;mkI3wm=+aHaU^! zoo9=Sk&)biIdi`CF8*DU_Qd+Fm+bx4wL8+=S1X#0w+e6V8h*g3N?%JY99gk`3j6oV zcQNA;ebRD7OsG`%G|gUqMQK!i-tSY_YW{5^Zy1$G`OpPDpUe45uO~eR<7&BoMo(Zq zdY(vrJB!AEUwg>G?bmU|bjZkkh&Q-SAu?!Ou>-yDubI2DIbPGt^n(_=xMaxCczWbT zZx;=iD!y-OrHntmX4|$^9N$Ie`+J8~TRxzXBg`NP7-KV!6`6f<_J69)I9!l%0$2H)TmN!&+L2QBlZk?I43O)K6@%~&N zcm76`zRvNhUZdsjk(GGNOqAGgbHA{Msa3tYf5s#QG*J-ijy>-M6+76JkzZVu1DHJT z&DgCWvQ3L38+K`5kuwAxVFhayXT3;8a(FgYgLvxV?$F5a*V=oNH5=9ejfV#*W;pgW z)+L(I>WDt2<>YaTqGLU?LU0f~A!?bqzV55%6Zg1t^flfh=T1K0KrV9eNx5(96zfVL zsdmtaT%;@DsonS`sh2#B1Pqb>zJ_83oB0dfoTu$(Dkd?{|w`|$=5uJxliS|Dj z{Sz6{ry+WDHp7^6{`EwKY2BMI-qzh5e))ms=|2)P?%oznn@e6(uqGlTVIrElvmCr8$K`=&d86! z@_O(1RxiN5g2`H(+Ha2{@N2Xg0 zsc=Ye=}r2WvuDNRWe@MH7OQb0#(b3?_hHX`u5Ysn*Knd~?n!Cl#!q@d{KKxoqM6(3 zim9#jb}lsi1mj1O9}p4ejWUa>ve&(4EIwQFGR1|^1-^B9f*D7oqqlolQSNa(sN|Bd zId*~9yCNg;(CX>%RInl9I(R`$e&V#;@CGMLm_A{Zc!R3sZT;p(9o+D|nNG%glwVPP zjM25vQ{K}VNNN8`*T-MDa;C9!#>`4P5#xw89#7RI*sJU>U&8tPg{<2LP;e%uFU{@2 zZbut6?L!ah_hCA`T>iX4j^<5x1^v3r9GI^;9o~GxDf?bo4E#K&0;HCaC@Wc2EbKHv4(ZHO1#31x{c=l; zs7}e3`824C!g;nrU0!(4YrT>yC$_QCu=~NYD#@R&I+vI( zFNMp6g+I>fy5?!UMAb3uBX+pWNR@x!&yGgScC>tX>qp-PSzwjG@Y0z*mhd`@H>ZTR zmH!O-HLGDe%L;g|gGr_WVCF5c5^@tg``33If8hTQ`b8x~aG}Qkb1nfBb=rPZM*O-@ zKtIg<-j(eqFY?DK47n;zh~_$FG6TJ;%U>91?3x7}cBpgAtr};Qxt?||cB|K_HLsuD zBG#}=%rOiK&lsAbI7IE~!&bv?_L&vt+=oSpzV&YUZCCe?3g>nDhPFH?+c(DGXq2G$S^0G9G5l1WbZ6U?W>W;N_&b$Rb~tWsV5hh$frHTDj@y`r{^eso08M^v<+ zX>a&meb#PC)G?v=kI8r*f!kj7IR36(zPdb=mut0eo|ayx?_yVsImT|xC)0L6m0i(K zz>02j;$~T~>{Mw~Vx8nLlm{%drv;xEpODx;d3e@e>qJ!AC*8C)%bpv7ie$A8`#fQf zV6(1NU;Li_HI++pMfY9zs|9`cnVlo6?1Yby3gPE2+@f4xfg>1J!NkT z|4kgabi%Njtz}E703r&t>mqw6M%497dJ&b}_DFtqFQfOG@~a#XiE)}Um$5jj)VtB8 zlPgXbV5aE>`RE=)*Z=WomS2+Jb#IJJp5g#zE+Ko-g6yGnapoEQjD0KJnSn7ZJ|)%>x%HXaX-=HjW5O;Q zc53|~dlCx;vRD!#9(;Gqu}ZZx<`Uj&O>mx{xp<>$Df7oz$zPSDO|f-O-X`TclVHUWYV!lN~%aa@8J6W~CqzbOP!d}A(Tc(bsuUH{s$N<`TncuM@ z>oa#J#)t2rm1D(QmehBErMnr=Jed+?ml?`OX!#X=SLKooPIl7b(+rA zJ@8DQLpD3&9V?7RWUftn=IbYK!VT!`2Vbg?{mzAx=cxS6dQ!3H_ykqsmpS3|@mqXc z@|3AXM*3Ps>(Ra6WTfIh2@G}pZ6^8E^?5UD zS?`_D1Jl|=JuTUj_QmfNGvCR^VTIm=JFvqF{uck!Xa0nSeGR{RXZz^RhsEpJGxuIP z*Wcx6>xv#e=0}JNh|lsy?Az$9aDC1xINfVBmotgJRr`cXxL@a7KY%M;Y=43kH=8%J z{RQsm>QVKncoO@vw>W(W+ZL~Dm*0`mh68oF_%;^pMS9dAt=msP+VoG~qiyYJ^(5$r zaurR9rUo0>BDX|!_i6G(RR7)kudNS!Pu6Tz?iwM3FMBOGL!D*e=jh?Ycij=cCq^W` z_g_Q`?}D{H$sKl`6LvfPGnq91?h}+mf@n01PHva}M5;6f*W=neqd6l^oSD^1?@*+& zv0^KaXT;34Vz23UgeGk0c01>DX$Qwj&QSd|P?EKph{n`6jyTztsZ&h5j(bg6w&Ir8 z+Fm%9=vMd~Q@n`eSu1pPTZA*8Tka97GlA3nC7Q(!Jp3Jr?LF&6toN6HN9%|!?LL6X zfaE@ne@V^3Ll6&n{dwD9(OHq$bXJ0iu)gWg2VYySHQU7uE12lJkmNTUtME7TQV}UQ<2DM?G5n*-s2fprb0gl zzYU8+bn<|lz_ywtE0xJU<(V`Yh?Jk;nNU%1TOZx*Mvr6)U)Jw$Cyiaf#}(az8JHO< zaDv||{lmLa@95XVj^}e95;2Q-q-xtKBgtcv_r@xNg23eGyrCIels@86nL5Zvk3+xW z9BdF{*_H7?kBF}J#Erc5h){wDynif?J639j15i~#CEFQ$9OMGO?ev~Le=;6jb0JrB z_yPra4O$^+PCd;qA;k3I1@W53X{D|bu0k)Lxa=>A5h8Cc^R8%*wCuh#Dv zPq+EvYqQTA?*5EwMk82o!Ndf-H8{&vvK~Edn?HT`BQhU7a$_Vtt!8J_!rV9R+&ZHt z+CasEVOjxF{ptS9_Yb#TfpmS{6=+S*b4tu#PS*kJ#2?wkZ@fYSe%JJ!)%UA=>G!A& z4tfC_J>NcMYT_}u-Q(00%wz4L6VMNs8oO34b?A|sq#oJB)N55M-oYRC=L*mG6 zeWK?Llf3!Q3F2?I--6%Tb?Y@+m<}nC!wHq)8fd@|VhE3WJlG?x?vEzYA`W3{`R$IJ z^|vHjXFmJUrL{=B<*1=ymg?La(ifuAs;VA_ANB>VrIWo&7ffiB41Dr2yfG6sML`Rl znCtyDIT)*`W}9L9bOg$GB6kx@2eb_aL~!YuF9`yhyi~4{dyY32qaeF>Uj}%`e`1^P zkBE0i-n;u1@XH1+9dxAG6#5b6Ty|{!OXC1*;SNEx(8EMU9q0R%T%TIU)v=?=3d>XZ z+Ay!CH)~$4d!jvdHJo!{kIL#xowrQ11p3waeepxqI8B~PR%}|GSp?MiE9^J=2-`so zBj~;z8$AE*bT;H%ugp$CCq(#YOLc%c0emV`y~wRl{ljkW(~(KfX3F%W+|xSq?}@;uKg0X( zRaWwz!&7elYpiy9+ACj?jWBDi`tdzjc{Obz?3>TF|FrmqZh&v}U(tp~!Hvi>Go|Vc zx#LT&Q0MM2pBRV+na)OJaAfdh%%8Uzi#cAQ(-nljYMA|&OTjSuuAEU z#0e6iP$M$=uWD1t)V}H2nwzZTAD#Ji8HP)a-MelD?Y_k4@Gap&ujCWul&Ifaf#9#$ z%@&>7g9vU$>ru1lZ1ih3I}+P1US-PB^W=@6_cMF#$y!esv!3!yS&jO9>6b#m!e!h( zVjj&IK7&!rIGuSjl>wqYL(Eu@tidbd4k^$mGxe313!_jnX1%emiBQeILB>P9~^yFQrJn5}?FsJZ6N zmDj3nJzZm3H^v+F=xTij+BM>N%S6*Ky_Qt$hQoj5d5<69S#XrGCPK%PX!)oM+4kD}*`wjZT22y!|J-CZ329fx(Sg zQS8m(A26mrp=szlzWtYYq*$N_)Uc+nKr9fv0s0+0DCVvm6V%ZnVxn81V@%Sz;s>Sg)2(wR0fIgR?dChwkWCh5M@FQSx1g3d{zD>~%Enf0NcY@kdK?)E zpTy~c#1r8eV>#)eO3$g9r)W+Ie}W2)#rNAkzS&vmZK3%PYT z!|SvfQY~2N?kFgPk-v!fiy6}j~{>78>=7~Si|M+uN#nI!> z7C9xJl+Rk)FE}8XF>;NrtPwHKGIn(R8I=L>Ti$F7Z;R6HSXZbxdF=(+18KvoE}i(J5GWTUKQ_qt*2WYy0$KjKaO zI_(B%{}s`dxo(-{*+B-A_wt~TO2vJ;5{c2B7@64UgHlqlU(Gv)qvd08)`Av`{1s-R83Lcq$Icf z8*`{5oHS z`;@-A!Ap;JbpCN; z?s{SUM6@?&U*QA(^e>)?wHsM{<&b9!4&gJ!9tGXSPT~29Ex|1}E}wK~^gyy%oYk-> z*8cSD79a1%sKnK954OL*^W0jH#&$_gQSWBjOV7CWI$qFp=EFVeXS+NZv#rthLEo)$ zOk_R961xv(C>W=bZX3}b!LTQLlh~#D-tgVg$EIvS&ni`&58YF=YDPF~>seA98_xMG z3)4Jj_Dw#CoPubWhX37|H#2$dK#2Z4kUzj#Pp=7{ME{vj%{aZS+ifBZypF0HzJI=X zu0&V-KvoRDEhyDLh>rF7lL=)HlDT$;xptzYt)Ct~9e@9M_V>T#@1W##>AJkmxi4doNX= zG0`ylg;tyoV=IBA{#^Yr20mcQKoiFvudSQEAU z`@Lt;H9O!Pt?MkSSrs6iA!xpO#%u7o(DL{L>`8PZlE;3tetbjiMP}@Kx-cT)cwNkr zw&EV9n`;?Fo0U7^E}YlO85E1H*BB#pCukb{USe{w0I>w6`+qI|1fEE=+Rh>VynD@k zoulA1m*4Sp`m{k2v}1_`^bEC0+wUH{SiJPa#8*&eeja@g z4v7Ja$?DJ4#ykP%o!s>_iGxbSz?t3@6S9g5|e1yDT?oGYZPqX(5Ms)vR@ppJx zZ549YpRjg%xYJ#KTYlbruGTb1%ld&kGkYp}9Dl99O;(J(ylfn=u?ofInLRCUncNX!V3R9f+8A$9l#k%=?%&EbnuZ67|tjc44I`hPmLfAo8&ewX%$HtO}_`2Tdgho9*j zlL;*g;(gsNAX#$2&e7p!t@dRvww3AV*fE)E?}J`vW-7L$X;U?%zeCbtUOnHmBP4lj zbj6BXU)WdaEx}A%Jg3wjF3q|eEu6E}dz#>fiVHVyi@bJt<@G)%cg>7s-pw|1y3%bt zackK1)xAG)JbqrDx;VSo%;N`oMLwPD>($hDdt2vR5hf#*HT)b6v99l#9K@8DW3b1o zJKwp2+i!2b0)h2T?yVLPFBN~EeAD7Th$ZwXPum-T)!p)Ede9%N zQ7$G_N7EO{XMh*NnhIOP=bc!$$fkKFR&8fnhKHQ8#zAE#PQZ@j6P{@CI48#bJ)S@B zY}I(J%xPx=_Xm5$R@@Gw4jrLBPxZC6sG_a@UjGulAd^90>?;cuLk{-Zz>T*41po5= zwVI+Y_NZ#rTYp``QEl<6*%f05apkFtWBQu6m7)jgJ`?es?HWH&$M4U0$qN;Y`Gj>=zqI(i7O4tW~?VJM;@ej;E zkT-0FE%7j?qOW$HHrZ20qn_~k2}F<3SM2;=Dz~u8J!8tX;&rD-3h^pA<;R!H=UI{N zw@fEF`T`aW62AERwrYe?o`=K=iA@5Z&LAsZEX_7 zUdecJmeK6I#}}OG0G{HUm`rc#Ww)luA2DTH*7N4>^856-|1)n^GS)a`Xw54aeO>$Q zQHMIsLsg5N+aZn!txqVwp)XE$FSu3dm;R>2D(0B9;K-z?JI_*ng+C)Mz>=w>@gZQC z9CT!~cw1Pu@Iw;?{X=h74=Jpee|ylR>YTacZs!eUa#B z^9QXVozq@z{NPiYqtZ*p-}EHVtvA-Jeb&82&tGXp-JSA!6aDZ!=C<6W_TAqbf@YsA z`KA}k?{357-+qQ&gEucKo8A_j4l{JT`w^P3GgGFu6BaF$3SFYrmegMR+KqVMHS zW(yFt5&MyqVU^Q2sXia8hrDcDEidTAKfZ%~az(M&)WeU}z(%;TC;Xfaa@+-flZd}h zv&0%MKQAkad$ zdq9j4rmhzLJ=wrR5WvK}y?V1@) z?goy-Z1jd;7|(0Lo{NAYFIMYg7swY>e#d&nL#ui9sL>~`DjB#SBSuMo#YQv)HkdAT zs>GESkC7iIkJ8V8+LT1!Fe#a+(W3bAJcW!hQRx3}?_8U!x{@@FK!7-s@b9L>{Rifg zsye0$mk}4+Wmj~Er$-?1yjnh8bLoTyWnit zSl2$u)%CE}Yh9X8(?<-$p6^=Myx*pR`z)^6DY}?EAXdBj9{QDqz#W-`N;4ijh!bKJ#YO zt)atp9`dLyc8W9CezygO6dgTKr;>9R*oJGVk2$|!O)Gkh&h)lntEoCMsyGklXZ?or zBMZrz|2s&X$P__6ht&pIBSk+;ZiY(CBWwUu;;~fp4i*a%36^dpx7!%n=V)}#aCa;R zhv>N$yH>_&Kd2W@_jh($%Q>)%Y7+0(6W-jjk_&H4JNy|dG_mN~D<-%1Z?*j#Ib#{I zc4kAc{>LLee89Rm<-1EMvxR1FQR|yK>{v-lJwZCL$N^zZPi|eguj$O;G?qlj|L7j` z{^8H>;aRx3$JPm`_mb&=)g9WirUTQ0pxVSyRsavEKCJrbP^US!)4Jk!p7)7NKbLFm zuj#bjetHHIo>%Yema=LB4cr5(T!36tTYfLs+WD7_7rR$CdrhzzG;M?DXji7A&PYrq z#cM^1{mJ3|#*>fJgm*58!a8PDlku*}CnH6CQQHy9jj)2*;Jy;IlDF+8%Ek~6qB zUdsH#FL*v)#fDlS_eAfI=^EC#)o0fC;-S>y?&YqX@Ti5HJ$aM)wD<5e^~9MiW*unj zoWFk0F!yaY@TOR`Q4=&UYme(bB2_G{eMh^Q>OS*3zE5X=`sba`U-^eNKMxd8zK$=^E%`P0sRX*3+ZwqyQ;|NQyBpnKDPCu--tc!sY<(HJ$^o*^B$khSv_@UU=1 zb0%thg5Ugu^B|_TWw+S1%{fA@5t2KCw|~Ehy#|*DC3C(!{pxrtvfrsghDE2B?HsPi zlY5Dn_>TR+1Ho3++_mq$00AefLXPPjr^BfO>~;8xeb3lxR@2O`&#b;s%@@nwr}k=3 zf=kE%b2?SJi98d{E^u}MT{1y3Scs3aT3)p-C#P}6VxBpx9!w}Vb+*ZBo+IkCYvQ?sZ{-8@@;hZjmu*3`=n zGCCrv_%2s#9sA-4zGH4o24squSsU#-yJF<=$d;TlDf@);D2ZrTC-R4Ab>?04h&DM# zd&p0b^rL%rRiPtJbGJ8S_o}jBx8-(-_4)o)W*nl;nJ2;LIQ^5!Gk%W0!#m%;42R&P zuCrUomYK2VnwM}0R*qRkQ&({U#`C%x(dp?;CU|=lWSS@7&whnI$HSTQ7u==34|Z>N zJE2CWewMDdJi*~c|5?w9*VB^ytEX)h6-@fu`OtsH`B`*I<@?UbY4^t-#OF8_5H_`6 z7iP76_HaDY{`NDf`w#Ct^}Fl@bK>X#bxO=q0Kr{=@;T)boZT{+In!{DHFDYxvakJ} zb@1-Q0U!>mCY|X5`nvft{`)=Ap}D1Zw{sp{{P$&Y|1faTk*v%7GvG2%%zY#63oItJ zEo5xO@_ntQdubt=Nx=PTz5vYc%C+;&*@fukN9)Tg3p4u+NNN4KceZjTfo+b^}eeIrQ<7@hhQt0bF zNx}K&a|s-7e~9i*&ttdlbmr~TNV9Zd{+Y+CV|ghiHxWUtUiv&>!f4(6iCQPpo>wVO zaDz`$>Bd>9^UsyE?uc@gR_&s*TjP$qPwqeW8dMsx6jUR9rO|V5aS0fQHA{CUvFK(K87>!TAu4JVyJ^Ztx9e zm6F-SV>-31{w(I9XGUiH5_Z3H2A|Kaw$6vjDym!_;W@8Td4DoHrO&)kf5yTemz&?w zLEl$nJZ}&b910%Tnn>qe=bU3CdtSZ-Kf^STHucRuqpDPs&2HWH?3IV$u)0cPz0s2w zxBsw3&3@BlEE(+lDQtD0Zcx>(dsIv&rUzx$T)971&vEbA-~BTg(DB*ipbBqHvx|I| zKej%C&gTCao#^$6|F-&L`07H+)AVMh*TKMm+?67c+cb~PP5@^!?AaYe4O6B+Si{;* z`E>q>xT)Xm5v)}vsLbN7VzuCLd6M`1ms4>-MEyLFe4m};458{Ndw%;RyXV{;1orCP zlc{!_DsQ4U@IbAz-nrH_q0w9*{PHf6PUlu?R=X4%qguPLP2gx17F?_9nzj#b{%WS2 zUH4>$MfR^@5isHGEu&q{Dydyqz|veiVYI)pXltkaJj~}oFw`Bn3$mV`H=XZZhCL0o zYt#RkFGt5N^Tf-K5S@eb(HC=9W>HT|V!ZXC@xh3S+y&`V>sAxLZV&WeTnfJsvxbMd zmD&1K0OgA5hiZN9#2E6-WbvcV*a0e*^E5S$6V^#S|1Da7n5@TSPh)Y$n)|lO`$0({ zc^S2`J*jAFp??Zim!|~DP$_>`{*r%CuhF&sh3CqY-(3Wqm2r4H!O%tJ7 zY{02L^tT@AW7_m+B!tBK`57gxHVGQNce2lo#)K0a!r#rNs;qjiskvCtMb3==l#F$< zmPACw?UyDVedW30LkEIvnBc=3@LeL?F((KvN0yu3MBZ2y2I*VHVTH}OXV1`LW9luf zJKe6;;pTUiT6rXOvQPb zTdqD~rP?`0H8(FcZBBqnP0183vs>gQ(eiuDNUew%{J2MyyjR>%#T>pVoqyyLiSp^% z0JB=@XI2OP3LT&WGAvZ4p>O?rK0R5cY4)#K^PoyRA~u=$@68sogi|Aw3I6=tJq$CJ zD*bVd%0Gu^O3a@KwO3ocq{7OxiNpgiTKM_O(wUP8&e-VF-#fci_MbDAkYurVM(GcY zf+lv}d-NRR+Sz>l1i=Xt5EnG>)>uioqA*s`BBWy+mF|a`#RLIuq_5+@+3Roz#AD8> z^+`XE=k@tyz^EZxo9YArA6hL z#U)~w|6N-D*-oRjym7ddEpHVHK|a$7UG9pC)n{*2d=GIsSrqppysJ3xyz$Oit8v~; zkLl-?Qdg-72aB>>sex6Y}25FJqunEG(v zO3Nd`AO`$D7Sw1xq}{chONZ zu_LU|dGxQ?yGTQ3r2WiJ4f<_iN|}%^MrNNj_8Gg$otQ1X$Gowo!LSX98}e^DqWE2# ztXDtpOvl#5!;=BO1va$%zEA%oPN2)1b3{(56SYQUUbj-X@YoC-8uf(JqAu?5Gt{}{f=)A7oalrZU|GHAgL|fE^ z$B)REaP6-SvCZY{TbwwLVE7)h3htlbL5ZfS!?F+!+}6Q8Ga(g4UGqCgF1rRawpVEG z4&2nnw=iXi5!e}e!}H|u+7zt~swiU1iC&$2hb4ihr4j{+fDFXf4TV~N1adWA=kkvXR{(Im0m(yv>DGoIo zCow2->{~cy`&GlBBAs_k0%xi)>s;*Je^{&3>R>=f@IsvE2{MOwrlZ(tAhko8wYLRN zxczR|_zjJz^|zO>vDKCBpvrM|ux&o~h)nl%vT`B~zGHpJA(EI+LVVpiW1NY9cHcK> zXP7a=$T>lF)nQM3V=HgB&Vo=YQU)cZzWuMQzC9<3G3RbCt5ebU*@kvwM9Vxs88Y}y zdK4PJ*`Bx65FKt&pJ~O_O`pYxi3y@wL)h}Z_Oi%%g2dj$+N-nR{H6=6S*9Su7gp>| zE+DgjSbs2HonPNNq1V>Zo`MHhHtJQez5cxzSZocI8c(s!WJ-6KE2j>WPpAs5nupG0 z<}0!@M^^XHv%p-t)kh+F=ivMI=ZO*5^flKDwg^WsbdAQx zPK#z!_2X{oHfpTn^q-xhqZ;Z%`PHlIolwTLf`A01W*!lD9%LG_C#;a?$!8fE%}(O zyYsepk4*JvaK*mODHAK-Zy#sPQ{})~eZBjt@FH*jWcCfaE}qPK_i8Q$ePKkgk=pJP zp60Tl&o3d@t4-$@lKCV9qA&cE2rQluJi+>mjyT!aBasi!^9vKJTjyTvRzFWIQ++)( ztg5ct@dvDIjYsIFGN0<^oq@%M|?Q=$62SHbB~au^$k{A^%WpGhC}y_ zt-orKOl7wHG%^11&PSfgQ#IspE~uP8+BmZAsD5un5XRvL{%jgLosEunTMSi2rKJf9kc@ zs^-u%D{h?pPff<(bE00az(S*^8Ah2E{zRNkuR^Oo*%HY?_EwvY7i<$1 z;|r{22@Yp!3+x5mkyJpmjv9549mKUV_paxg^(%Rc=vA+v`*rJtNQ&AvKHoYo-65;8 z%4?B*mj?Sij2pW5J`+O=3lM{3-omWI0*~bh+;-Qr`Ob7RROR$l)oEYr%%5?>-fjCQ zwHDh>eVlnC(XW|_)(4jOAy0J#b2fI%t&8o;yLR=pbCv8(o1N^Mu8R81>c^_0HkPeS zth%9&`d#8e&p@PpoP3fP(~cS@`9x2wrV$6gJ9*mBSpR)0LWztT!}OSH`tovU8M{GS z`BP>Bwfap?*uD_?u;xb06?Is5V1%LeS+QK&_fvK2efh4dzj2!6IUQNm;Wcy*U5lNu z?!4Kiu%t-w&Gz11=M%AGk;YF{U8ft6b57IShVSqM@l5=qj`;2F-&T+AV|jO5J$mX0 zUu<8D6G-N#!`N%)?Ac$59+*29EIymW(cjFtk0(?1&-!E&ELV$=+%bucsf@o}*2@!r zsqb_hhz~_9a?PodYJ5a?*Y?vpTvtXEUc%ke){dPv)feMW*#Dhh^m|ImpA`e!b$5@Q z2NP}{9FOW5Df%1l?PtSj=6#wDiep$Y`GK$(#894L3V)hUQ5TAbsceU~xvg`se_wC- z<1Sb9MJ5zX$LxW3a=)@!bUM0cTkjB6yIHgCCt1&LYc0v{I*;n-8+gNdhSd6059y@U zTw}f?n=;)*wX=!8$6wB_zun{OKOe!kr+Cg@P1-(f%1m#5`nVN|W|_YFU< zo>RM<$G(^E#=@TBCA745_PSy!{Ftk}+cQI~HvI+WYhPo#-x1GuHWWsJjOW2k z{c-Vh#N;py_GU~v5X(cyB=wxTd+@vU+3XnKgnu9Jye5`^VVw|mf>T||q&~-LVJooX za>+I8jVizq1o(b@J3v|)|`(I|2;8r+UhD*x^3BdwFl2lKUY}~Z zLJBg=l?`b-z??EXo@d5)Z^CKa&WU(_%X2`kYanmN`u(2x^3Bd={tTnZ-JfBf@p|l} zOZa5ZM({|o$Ki~LiD>&5NLDxL}Y_ewd4mf87WQDx}lgjl_&FwY6-G0W2pikTU ztLC%X>WLk)bL9xP9vf+;>(j1Gr%o7CK4l(X5YhG}>&g?NS+GD@J5cTIk9?|f2Jvh) zWudy3gOII;^TQD+HW;5}e+7nD^?m@BJlX-L{{nx5RZ3vxWJ zY6zZ-dU5oq&)F|qGpz>b2XwzjeUVnZb$F2fCZ}YycPsVG4YR<=QK5C|+3UnNmq>ss zZ#a$RHN9k7MQt$15vKbJ&3f^b+6Ah}+=jgM>L=K%XYsl9){pM(Z!w`2*1cPo*cPIx zQIFDNhq-t1@6CguKgAX7ej=kKrZ{%DHD>!0=;q=M^-PJFgV?F&civI5tJs=LrihP> zU3Tp`)w=qDBlaDAPw`O>gw;)1Flwjye3NAcX(g`LBAz-T(UPe<_)re}P9ly$7>ZHG_>UAr=`N6B^FUsUxf6 z`0VxiK_)giuM}yVPd&NQgz1+z286MH6Qq8+7#PI!6;y#C==#sDCq`=3^?;Fek?X4U!GC4RB7IVm=Q395x3}db0V4)DWJ7wyjg0Y7yZt#4!2~`el{M;SI0( z(cR58Z^#~Y8Q%#OUww#u{tLb`Jp#KQtv(_z_$MNo-|v1q{{1b}@PCZP558~438{ho zoOCsvZbYk`xBi3|`#sZm`TmyHs(y|h+TmMlO{-nq1LN~wo@X$)td>}D4d;Oe%mwlM zWMilP*s=>&Y+?tte!llO_hYvNF2K%^``{*J%Pg2*jq5{7nz&YGOuLPqsoc|CExmNcApCuD=gDRF(G2}b&hMAy>S9R)BvEiwpZB=${P9)ee6?^?2 zc&i7y55Xeys(62P40ik|I>YnAHH5v5bV?%q`&3C*$4C8c{$PO|2V&>5lBtx@zu@YfoX%TALR&t4mIF-m2StLT8Yq7r;J2bZOwqoH}?)WKAZG zRV!AO{G)kRTXJISln5%T=@7vwvjuT!tX zpHx!iin$7r?YoWYNQvguzg=^>8l4~0EFUQPfVHQKD(m(d&&2EzwS2yZ`JU1sAL-mP z`G8$}j6ll7=)T2Z(3Ohw&xzq`%Jy6NUpr{9A8(PulwA*>IO>DnRJTlzra)UD%vJ~4 zS$Dhs3QO{Q*nro}a{q4oN3cj`4hI97Xdh~I%zXz@o`OC-;;BWH4n{6E)h6B?qQy}P^e1=qAR6-KU$ zl}6E8@S;!Px2&!;(sfE>G@n;*d^M|WYt`TOOK zKY_Ymz&l;+?1Mkf_}nLv5Z9Bt!20s{tT*>R%p8p_mHCMT{tVj#L&sU%(~6eufGKVL zp6%2vc7p6CYc9V=C9``on#UTEPx_c@GYF{R4mqy2REF|mtYk<6VT2FaAq-94C&Yj*0o zkJ0C65)>6${N0>q^aY7!N*CPH+wDEDqx=KYAXm?#`y!&b{CNs`Ilnhv!iim?1E3vd_mab-22-0peUh$A^K!la z-#Cm@vra3EN{zu zKX$}iCv&pt-w&(gI>%?IjY^@}(r6l2ocw$INB1MQa>6fCe8VYG=!6;g4J;-Wm zSR{5;KEBx;dF@Ry^A;dsa3b$P6~x}|!^T9e@6YhL+k3aZiBz=x52%K* zp63LKdYa2P;p_U_x9t3^TDPRluCj{j9X#`?d~v3_&~HkIaLZY3eLp1ho3?;mFzYQ< z()Ww~C!2y-H@Ap=+cLXq-moTOpwhVl6%>NscoO#=12e-%bSje%05P3h(imj^T#(i$T=0 z8OEO>{E{qlin~v-DyvR&>ak=!=M8-HA=B9}kZJZLH5ro>`rG&Vy@CC`J5p-3CjC5! zRM;eYO0^YQv(_zDHYE2Tw%4bVE2Ihz%a_=*n2l?5i^`Iwv%X7lj=Vu<19ejiM_<#c z~x0$m~E|QGN9A#Q7@K0=Rm!(q>TYXXE z1U#kdl^L&Cm@i2+aa!4hJSn`u$jG@i9f2!dd5TC!jOq#*U&2dRkE}I@9g~R-dY(sF z4P6grWa#*3zN>cbjT_X7`PO!=`1H>D+aj7BRd7#dJpQbM8{(3%<6l`}8(v2fz{>+!M+2v}2YK9CB*f>E!h^xMXrG5;~-| z>%jN2*5M)_@%QiQ@0Vf1Oie_c5UfoQ&?Y$Vwl?ZkI2{9QsT-F<1^Rw*AMB%XXjVWtZ7~A$r@7qosskv4AaKx#$9rj5bb1D}n@SZ=UXsDj%G;DUElICT!l3aIK(k7kHb{x{|-b^g&-VTqzY2mUp-J(sB zIlN;fwb-Oi9IHt^J>IA_ewb>!`GlE1`?T{MUAMdz6%=c=Ty@2GLS)#V4vR$m_b%Sj zN{FvvABp`EMOU>Ieh$=}DbAhmY5R`#4QId(%ZwmnL_Hgpakds_QDINXl2dJd4}{K6 zbX}*FNY`(on(1?M2@&5U(orwwKEm39zUx}gGua+0OVwrG15C)1PBLBZA4zolB@1G7 zL)@5YzG6lqTx3uz>TGWZWts0UTjKP@?68>~DK3wjqtnA8po*8uI5B9`7JMV5{Kay`WTEB6l^Q&kYn4mu4Tt6ZckStl# z)e}5paj-%npMyB0PBS%3})QJM_}#Pkq_A|%q+Nh%HPeQQb+PCEF#9!m-nS{c5+x*P$ zQ+Y9@g?5Lr-djDq@uS=j@;0A~^_wQY{y$1B_adrl6WQxoqs_2#<`k$|khc)Q?X}o1 z6090#YK3LeLjBPKCR!QsSz|NfM=E<|{I?#1M#|={I_@h=6}*8LOoUhS3qU~H4>LUPr~uq= zRe)<2wwSYZKf!ZZU0ri+%4c;9AwvSwG&^5v#x%yJmZeL?Q&Do|g?J01zMYzNUY3g| z?wPF2F{;^6h2zv;%REX7v1BA%Eh zArF7B`Gq%upZCqRt`ENYs6<8G{LHvso~Zd{Y{bD};6H9(!lYESqu#1=2M=eG%-R}# zkLT_lS3A)?In3^u?Amf&WCJsyK`RXo=3RE3O^5dg50f(>*e`2)3g4~&Zhc?HV31W2 zxqOGc$nVJM$YiA^kUKCDoj$@Qsjw@Bw(%$7by*8oipQ0KV`2(Zo67!B&BIKBt#*QU z!-}ORzFA3Y#3IEVjh#g#`X%NSHsl5ROFS7r6TF!nG@ZL!m@rS(*aYLHtDQI2{h_hs ztFn&D9jz{oF#6K2oV{I7*D@Ja-A`+Oi#Xy|vRe&TjQqr4Xk|r=7wOg_y6w?UIy8}x z*;#6la~74c#Pu${$GI2mjh@oZj*6PbbTg zxUgvxPkFp?0<(AsMzNA2=TP>w=8DN^X&vE-McKVR^s@|V%h2~3f|1_J3Eqj&lF|CI zH6A+xO9@^?4~H$HZmebY(|6E!LeTK8xP+a^uIucmI{X*rrCTpaB^5}+^@W+DYV!O( zRxcH>^NRJhZ??1~-KNULmFKe-U^>}RRN8Weg`C$u_W|bQJ@H#j{+-8$-nVPJ-w*zv zOS`1WPTJ)(C2Z1qRb}P6gE423*2piqLgXCPgKo`m{&(zza)YtvxnRY{k}$WK5@9MkB%PxOnk zIp`b{-BoP@I?(R!+jQ+2cC@kAO{T$I!wc~Qc1*aZxO@1Qi(mw8zn(C?WSrJ$1tkc| zc{FBUw8ud-oC;C3Awf;$RbQiLJ{=Pnw%^uV^!hvKdi?zM?C*DGf4}SBeGi@=iML7q zSjeFD)H=LNRrNVJ5_1WD)?HAU1^>l5^m(=5^aI|`HM*ke;1S4|lbn1CFj_t30Uph# zI=;a?(jd*T&$K*^Y4@zbvZg1uKCw1ONW@-|Bm763mdSlTVK`&@Hmd_s;Iz8pMzL}v!5Z%BS(d%?JT&#qg}r{DR0cG}_( z_wn$rp#z!8}# zt#gQiwR$F8-*ES6_s@y5c%#qbyA}f$W}hsUOo->iU^~JaSM@VHXM69)AE{gD7Kz+W zGVZ7N`kZw}P6#_ONs_D-=VWBB_IOUuIb*w-?m(9ge#Mgk`f54B^8`!-(+VayPp{L@ za-W^2j834lJdct$V{(!`+)+*KKf9DdW?G3KR?d0@LE&7A&B4lhSIa^{zZO3bn{;9$CKOX#D2rShk;nzYr{V@uW|v# zaJ{pJt*?xZA3N>s5g<1(lS=wmoV;`nk5EiSMZ=>uFcY}OJ=~GJneqHUveRPl;8uqqCpw-f_!~8^3Y4XY_}>RXeN|e1Cj%^1W696FzP*7Z4J*0GD&^RbRTYuTl1|Hj*uJ|{A3*UO1O8*jWPoD@iI$4)DI z$Hjrg5w7)m@%F9uYt$0V->9Tuoe{mI?agH-MXQhM?di1JBf@@>s*5}+dpR{ny~bdg z4Pwrx;(xrQZ??AYsdzZCDkF2vrk&*HI-Box;fSaNZwy}a*_C=l&KbrBTjM0+o~coH ziY4nBF{TfPn!&B;tm=fgK>uTS|; zE-EK|fY7L8ip1tQ!iKaz%M)T};D4B9)TYGi-Pu7%&x-o1VdI7c9g?hP?mXcOI;(vW z_fpk=#D9}Xsp$nGj*j(8+wf4-l*~_ed3O^w1w=aYDXBlCe)bZe3dCmM|1hkVXNT6CWX5kE5z?!G+xB2+5_<3 z!L9GJ-w)EkT<6MV?+rT|yMV8SWx&!F5*F_{lSE99&utvz~R>1dVDc{ z;U!+IJr#)B>l}Bh$32oIGsfiS?$ytC>ZnC4wASj7$!~zZC*J8{Y6M)p7FX^(ymtl< zak%wbpTq9mgC(;Xfa{JCH;>6!#~;2MmN7FN%pm?oj`^?(e7L?=k?J4 zRPxQ%xI?e(H{=b-ugW%}!M*wrUq1K6JQ?=7s*vD)s-E`{9sr9s;jwkw+%%K7Wm3x} z_I^75B7M%_MC6u}xaz*3)ScfF^ZUk1!Z#islIKhgBW&#O4Xh>suSJqnvSg+QXdfF)j6m*{^>Lc! z<$bPhb#1JkcaA^isjPshIbc*~PE)-$e$4uuD)~G5A3g`Nes(h%7%Mnig{9NgnL)Nm z-|-r*v@0iPD#Chxv8q=e;yubM7eCZ6O+S@{9UUDI4||f!%%;u|vhydpoRpGzLJ$j> z;nOa0-)&~@+$46RCYh7ot0$bvN~hpUdfUL_=7JBCEuHGrL0k=;de1tS1nWk&?NhVD zTEmC+8P+nK064{|8<{cPtnL|>+pKOpTJ@u31>pbhnRDW_M`9f6(v1zQg>7f?%%sA1 znMpyEW@YsSJc=`XU>_P|-uIju84jSKB&=9YV!viFgG#2S3JXb0h;OZZoL+-yrV`Q6unC|C)O??jUDpwfTorjM`R~%6fW`zY|$e z@v}cxNxhYc#}0s-=4Xw8Q*K;tJs!RvFF5MPW_rLr^87((kbt?ZbP|L2+E193mifq& za*ZBm()I3XFge3AXvPzFz?YyRa=xyYwae_vHvitWT*H6n8+eBZAnS?{0n!V?c0>`;4{Nxe^YKEcP? z4FR*|Q?velr(4PDUmeI-4w~5T$QeVY)D2L@ zxmN8RB+WcU^4##7t!K%%UX$1yo4{cy0evs%gxH(P1}bIoH(0*DuJgAj-^yErJ?T?< z;wR>Bv3WtQpuJ$6@C?_OdjC~2m3ZDOW{NuZLa7@aAA*=_KJm%!3A!VC3R0@QJaJPX z*ql{TZ`Z29zt$#!)EbX~UV81eBj(RU9mB({j?*RdoJ3Tdulb+oz<+L~1|;Z*PV0Lw z!@-ZKXOmWzp5%1D4^1~ZGf^jn7Q#qvdR4J^z2MAa&aUJ8bGEc=qzqW~msmA(aHBoF zR;0OyRCr?_EJE>2HZtXDkr!QL$myRIOg-mupAqm|5djw(B(3 z&e>fuo!K?*gCrAr zw7G9&1E#a17bf?v=V&!+JF7!i$L6{tr*#EiP|O;P4HB^0IQUC#Y89%+?DBhdGaoy% z6wjD^0sHg>25iptr5?C@EVQfVlj~__Oa|8=lY#qMM^2HBT7HwcUo@~yR zglpFFx@)lUc(Ms$bI+=>fA@~yzHo!Q^*G2g*Evty7NJEp2ayu0-S&iQez;D(s_ zBm3T}Lir(NS>+JUEH7nyg)e1L8b+%gaiDpcS zQMBf_H1`y5(<9a(WX~X6ds{!({X=nda6);DLewu(!G>*x0~(Q2I}_2h<-hW9&5JdA ztpwwv&10!2AGCVzX*diyh_<7cCdaG--i!!s*UC*#=L)ORIAu_laqO4vQ1cPp=Fx4} zx?3aDu$OqKyiK3yLRTBe)Gis3?vWFn3vnVD;!1FpIJ?=8Ne5=Ec-P|o21Yl{ck_m4 zS-t;&z_u`GbcT@ z7`^M%l*<(@u@ij4wYU0tSl;=W8fQ07IrBC{=OrLW|8rKI_o6Y)fr*F2sgO|#=rOt;dExv}y>dB}jvV&T*qo%LDQLI?j zLGIRh`8j`h4KrF*VQfdYL``MlzRza0?Po}fx~JiHo{D9t5wJ_N=L(!(1xt#Lk)6BP zw2xZd>;CRLu3z@W9ONDx(a=zcviW`s0sYZ2uv^2xsPgk>kC*4ymImhRKx;v`&W|DRzCUNIXa zR*}8LchSuLcK?6_AfKxb>3RHr!U5>jh#{s}&n#WDvUp7MQsep5scz@BjN;3|2M}T4 z{m3n7JAzNZ6-=G8ThNOB3!>w}K6Kw~z8((F^Nq#f`J{1r)~EY>yEtB1T0MDcoRKp6MwhOBzgy2lx=P-YJc+n@ zOU~I~S1FyxtNq=F_^($x--7V%uIiYj@8aaCsU`cs4&dahuijxPf@D)EaWqv*G%q+| zfS{u>9i?eE)wxC`*lD<4s_tRYrasZ=nLA@vF-Jwy#$S<4VbIFynr+WBe1UhWp3$tp z<_8%MYDTa(!{2~en0a-viA427>KypMdv*@&!R7~SiLv9{ikm$zZHO+g?hldGsqtd= zO4}XAE&Nt#rLsKbVOfIJ05G z;tTW_u|sX$k&1H-AMd{O?J7bhimzUVtS6ouPRZS_v<3Ru-LD>HkcKurxB<4KRY?__ z)qD#Y8(nc7M6*;a+%=Nw_rl|Ceptz@c1`uoGR2u)W*uVpboME-GaHKYxzp;)S(P0#_;iIzrcQnD(IwGXQCSetmHZyryf%rg*`NKLeJb@{QGdXR zBeGwS9;^Y)ot#;#1}TzxTq;9T3A zKg=Zd4xRZGOU=BAoIu0e!deCHGb-hXZ|u$_1Hd^t<^9e;)8DO(`Z_Y#I$nW@sxsv{ zd-}~9DyiDRu8qD8`MDX=L?e0HNclA1!T7 zM~^#T`)XP*wDH-F)+zguTs~7wu$auR);Fi;zgkY9mTBS z4EOFpE_uULbToGK3_GsHV;$9aF>3fn&;#d~(<#>1S_Yoy?fm4hV@N4da7wH9%`V_c zsNbz~ta|*UrLrqUZN#6oSrc-}2iSvju7>3}q4Ft~6W^;nw(C(zFwdOn2Gbd5hdUqe zSWJ56^d`Qz-haKy}Rm@Cl^#BWa8?6=v!?yGN*6HmW0G*)!P6I3?q zm)jT7xOgVwGh+&Ta%Ee>>#z>@KqvESN5jK(qTTbT_8C}0mcHzd5zm7=-7^~{Ibm}! ztLAyblK(3mJFxHUC~btRFwZk+8I5<#Ms!bFb%$D9v#vLYw<>=}H$9qd73Dvu!I<@u z#{Z%p{yATpQG)X?x5EER*R1bUT~l&M(cE->^Ql=?iE_?nKI`UlkEIOPAW(|HiO!6 zvU6XG>n7_M|L@G)E3XJ~m}{67spVn5y>-5|Sb#opy(Tjj7M%k4Rrmf~vrE?#tP@?7lwXi@afG5rIPR zC^bQOnwl=I8q5Y)yw0ZRf6=z+$=trrBnr!+fB6E+VeN-~pRjsR*8eT?Y0=r5p0>J$ zHKaD)LB0zFKF6r*RkfA(>Fi^@y*b0{6*cqyIPf@Y@Pz-4nHM+JCazb-P+vplW$(7@ zD`!k+R*!<Np(~V_L6~ zR!L%3ZJCKZ`Ci|@);n?r7S?vo!m(q?3B0&XB^MD+#glC(w|?ht_p4LO%$t)4wfe+7 zTK({aMn(;(ZeLEZKzPAR?R{xJl}lu+x0qOl)#;oe6OE$b{CoG$)9?Ftw#98H%%-@! z&q*BZq-CB826TV>`&7!NCIu7FLI&753zQj@~gYNML$6pWPO!h zmc9yO&9@)ksvL0;F*(6AoTI-|;V ztWuZqr8)!VtzwOD_UDC@Y|;QDWz}-lRX9m`LT5aYiB6p$c_XGY`}9GPXtrz8GucKU zOxNc;Osl5!epX#IkHgx2KPqOf!fsHn*D5AvQVyrcwD;AqXKN2{hg8!;)T3nnee*F` zg3b@3!TB@QYD9vfIbxCY67U>l)tvMv3@P1#MExP zAulTzOmsWTM1U?iktbcwcDki!6BKfB`cu{4+b_(hfgivfXbhZnF+6jg1E!>BUH3baixfb`=6aDr zW;`_(vEuUyt&X=~-Oas5jx+vOztOe0GobK!dODu+I4Ab@nN#zqgqUQi+$(HoEJ55i z$8w_QFm$mQ9iP@@$hNkgha2>My+^(K6Y*gD*_L&P%vE3x`@7UpT1)2LM7Hz0I%6KL zhkDLO70rD0LB^bQD>nS%{`lUt${3LCmfhC>#&=tn`(!O+!D889C3FM~r|>?^ zw~&kC>7G*md9d>)m3E%m^pxi~PeykBoJuEI@U6ktfdR2qu%uT^;^VWK2q7w)<*HUc zb5g05e(l6(r|4jnzUNc=-Cie{Dk>&gT{H&$W$V1YCfDu#JEUY$fV5uf$RSnzhJh771~SpJ#}N8>PXcz6V0ubajMmqtE|_n zrREVcX9&Su$-RILw^>uXDxL~&GwO@BFYAQ)5#^9Mo1z>}bx~t0%8|XoqEk70ymL)T z)pxeqYt?@9E-dR#CffzeLu?3B-Ye^iJ+1E#Ec!Mlxunu)tpZgW-DOQYA2h`Nyu%vo zK3)9>dK2$9(2Sq$vDVk{xS2&!G;Z!@B6jZs>OSD=uPonJK?QMFNWi76~j8SR}AWV3EKgfkgs~1QrP_ z5?Ca#NMMn`B7sE$iv$)4ED~5Gut;E$z#@S~0*eF|2`my=B(O+ek-#E>MFNWi76~j8 zSR}AWV3EKgfkgs~1QrP_5?Ca#NMMn`B7sE$iv$)4ED~5Gut;E$z#@S~0*eF|2`my= zB(O+ek-#E>MFNWi76~j8SR}AWV3EKgfkgs~1QrP_5?Ca#NMMn`B7sE$iv$)4ED~5G zut;E$z#@S~0*eF|2`my=B(O+ek-#E>MFNWi76~j8SR}AWV3EKgfkgs~1QrP_5?Ca# zNMMn`B7sE$iv$)4ED~5Gut;E$z#@S~0*eF|2`my=B(O+ek-#E>MFNWi76~j8SR}AW zV3EKgfkgs~1QrP_5?Ca#NMMn`B7sE$iv$)4ED~5Gut;E$z#@S~0*eF|2`my=B(O+e zk-#E>MFNWi76~j8SR}AWV3EKgfkgs~1QrP_5?Ca#NMMn`B7sE$iv$)4{Qs4}{{cx0 B1E2r^ literal 0 HcmV?d00001 diff --git a/sw/f4/src/AL-4546D-SA.tu56 b/sw/f4/src/AL-4546D-SA.tu56 new file mode 100644 index 0000000000000000000000000000000000000000..92d003ffeec1a928ea847e36fde328bd9f9cf3c8 GIT binary patch literal 380292 zcmeFad61-eTHp8PQF**a=7v`xLr4nkEXyz~hZM#R5n(yB4iQ*#0LFmqv1|)rOO|D9 z2?R(M!lnnX+3KAQ4T}jO*_Lt!X}4ykyQ{0Zr+b!lo2ja-Bd4<}YcjL?L<__MGHV+a z0orS3)}Qb5{C@BIW_9l>6bk=k&qi-mWxmJnc+T&0{F3DV|L_0nEAUIhZqiJCxAleL zu=PzWMx#CKJw43Z%T|&+!|%T{JW9SJd9AJAKR0YN*4F&<&kw&d`L?G2{^szzl5gW) zUGw?jvyGirvZmj^Fnqd^wBzUB+Z)e&1;Q!bY8R1-?D4`y`N$B-_iEfdUNjw7+xheGR9jon*b;D=Aw0p4c~{ z+G&N_H`*)LycVcTpGTT`y3uO;=bvLX|4!4_Uo^AVdd=wS*ZBQ2 z&G*^8pBR2?@^rcHvt(S(SX_0%Xy(mfzxAQyea4-yFoQ+=wY+}70CeLP{>;FLUZ1&my z9~ykN|0f3*2A}KyaPb+g{IUGg{R>Ib`!|yJ51(&8A3y2&Coi>M;*)>Y{k_%`gFn={ z#_zw|{GI+k<=>CzAI&cJzIo8$f3NX5?{2Nw`v+QIXpV-@rGKaS4>~{F z{QI51+x%ZUf3N#bn*Vcm(fnV!Z}&%ox4LikN6kOjxsd%x@=u0q$$Azm@LKwr)~Az2ciehv_|N*|?zlbXisv(Z z`^&@8;P;xp+h5P$nXJQ)&u9DXw+2b_JN;+!f6Dd0-TV|!{w>zzPYo{&f3x|2_s7lu zi*>ry9CZHA<~urr?mOE5IDIDnWa~GQ|D*Xy_U&Hw_tT{Lh2qzTzdgL$er@nalcfD8 zlIN2zZ+~MqDc&0XQZY>a_V7Y-A^qO9kMw`3`>*5|-~wLxFaKZ99sv#iXguj~A+){k_5xb^NXOFB3m{&4Fnpvk|Ky(jy@#^)H> zE5ly%hmhR*@A~j<=I={jYs;MfyZ-x=q**ZHKbG~I=U3oYhToXHFieI&H~iG_9}XM& zBKiFAGsBmXoyMmd?{9pn(Q4foem3dkFE&2e=)$odYkr{dp~j2MpxOFF^5(GJN?IF9 zqxDHyJL(0VPxPM4 zK9V#VKi=p!f3$fmIY?ekmdUSRJba@0T;l`zh3*IPQT9jL)&DLG!pvJ=&&)TodxPKT zJ=c4pqfeh<)xJ9UaQb}n$MYZQKi_$tH~&WO+Xw$3i&y;HEaD&GiYK_@c6MQKq5ZDr zpBh|f{;lrw%^&Xm)Zm%?Z#LHR-r(NwhqM1S`}V@>uZrT4|qdw@a=rt9{e}SZs!+!d$uC*<=~yk zf1Ukc^F5vSG{3L^uEB2e>A?=qf1>+~y(b2H+m`Q|};@M)gV zZ9X-8HPz2<=icB`z3*xL>w~9i!DPda|?A-pQV9HU|3aE1O^0`I`1F6K*86MPe=q%d zcIsNHF??6{9!5VJJ_)`2_3Ue#U*G<&Y{-6mck|EmePrLs{(eXHL~Dq?dxCF%)kgb7 z^ZTK((ePIK-L`u}R^rd~hwS%o%_D0^*!CEpslC6|6c#w7}56( zp6Gl<^U3Cg!B?>6@8G%5>DhxPo39SvgrABW zd!y{2J<6xUoqW7DPcJ2RvhDUx?}O>)m;AR`TC ze>1&~tl($wmEvN)*`DNw{bjzBkBa@qSushD^ZmgRPM#I>WR}kx%X~6CVI0TBPXDCX zX^#E()}nW1^_|6L`>2?-M)_iRP%OJU`Fw4pzl(#ld4AGgdC%d|)m?e{ZpB0=9rhIm{m@ukQ`-ty&PHUQ;6(3A54i}mg?>Y$I?c}?| zJK_HO`MiHv9Px~kA+vAor&p3uzU)oXMY70eon?NvF;5vOqt^RbEv`FSn`?*iz2Rv- zSzGA2#eQ?2>o0}zofNb7sMu|eiqqySztbOUoO%YI>)J%4)e02ad;Y((lTX;0-F)Ak zyd3In_v71&QLlx$xeR4HG0l$ zz}j_>dNa{LN2JjF85A_t~Ckv;CrpmLji;0pGYkxl#1LqA+IJYe0H zy@heftT<@jg+Gmh@{x~*w`eqX^P~P=dSol)RJd3>&7o7HK%2?hUL56lIikPQXKhEI zul_yTH>0!8cJEsM_54b9C0lQNG`qPLcWsnkVYT4@e9p=)`zNV5b-o4%4<`8oKHnR{ zLCKtFE#bC3u9@{tOr9i3O_K4=QR6s2)LPk!%+kg1)ne4SrhO4L7qix8cC%;uk)95g zK8ya9e6Pp%UG3i;cq{PxfOT(5eu&by^Xm{nj`??cU1PyVtX;$$GkutXU#2 zmc||X`2sqduN|0dFz!kYo%%cMMn29)SZ5#1FY?ZDQ%~6rPq~|okwT(;Upw*Ilxs%$ zQ5$(G{wbfp{li7^YU^&cDAu!^o$I}8jBM6=y}%#p-Rj@WZnkg03A1d`8|QoWo}1YX zy_eB4`)uFtyV1MB40l>w-@UpF{NQ`|b@&t~NTv+J4biKHoUgqB@{M7IK<2z=n!QZZ>6hH+cJ1JiyIjR*ct7zZ5g$7PMhgfA1DMElJ*4ai={+Q?VP(k7wJ-$J3kH zcJ^{(J=%>EuJE}$k9XjDBU)VHp-71qNxwFr*KYps%PbQ@+A`eR=)0INvFKu zpTCZdKggkm^cEJ8B;F`L9L}KNDRTUF??u+_csS0N%_%FhU2F|*<}b&mWY^A7+HpQ> zBh@6U8@v2u_M-k`mW{Qy&8z9vLf2?C{|G+bEKZQcyVA{)D{CjkvNN(K>?a(H>nSUT;6&F}r}74)=Hx zqb-in{|o5?>3Z#d?;^A^OU|$Zj`({$f62H^pKtfp`;U1p9HkYUv_Du}Y|M*g>u!3Q zujdb%(|p#t4Lz)5pCEOUQ}`K;-8>9hl<%2E)GyBeXZb>_i*}z3^t_||u(y+rSD%+} z_OQr$=mfMO`o29zS}b^~?&gWj`(|0luEL5a4&lRNc>4{LO0(Xj{?+)G{$RlwrW3Y1)cY})Vaqw~kbqUrkmU=BkIEC95aL#Ui){%4^ z<)=9E6LhU+pgltGmV3wFXr*;{Z;2Iw#ahhK$EQPGFF61&r7vOmptIS(Sx5E)vX|eF z8*pf87l=O(uqn?>TiuZ*)0Zx`dy08`k&e=r;`7pV_GZ*Wuk##i2yrCey{>&{#F7tJ zO((qG+{tdkW!sIb`o5H?+hBY4_u7FtINNHmBIy{b@7N^u^~Sb+zdsmf2X=pwh;OiH zuv8b)Dhjcjfd;E?fXT3CtQEi{yy{PHD0mt zF1kC}sXgCjm@Ru-*|z;{-{)s*^K7oa6CeLwd`lY-+R$fww~6g#Z`kf#)f>9;4Z7o5 zc3f+{ERK=ukqef^DN;_K;q#dNa0snjk%bJ0UBs37vHP$Ou`Brgq!{=7o&Nilz2AS|uKj+k_WSkP@2}K;zft@BCcjHxB-nww z`Q_dgBXA0r1tUtw!X3)Gnc|z_Pa(4p&D)7G8YzS9nCDton*p{Y5~w8`{O8T0J@(^RAHVXwv&wQM*W4IJBvI=V(x*?Lb-+ znbVo3hrWu%0!h6rv;vF#e&O>mo1}S&Y&i_Go)`EJ*}NgS1dVm2WAwXQM7wx6^Kd2n zj*WfNwkwN^*mBiV_VNeSo3n#Plys~{>($zI=-npY4KHCci0T*l-TpFtz-nD?=-NB3 zzx8)pnhJZPe~{v(BUet*D-&#w{oWW_tfc7&ky9g{^{ng)lPRYS`5zD1C3Z^^Gx!9u z+7DSl^oh~)KH86+Dt`~Oh!)i~N#ft^)~?d;kz!?0R;x1?ErgXiFv`BlEYP@&Yz{5m zj^E)??WEK2*4^Y1yKVBhw|JD+@HgeTY(p<+`2n(h&fjzN&;8^&hTX3h9&V!a%$UD0#!xh`V6 zfiDeh)fv-HwpW&DVCsG5LBM{|rBNj8vbsWJN8pcX=QF>rQ*K zFloOQ=F`%AHozH#kYGLN?O3%~PR&#MeT+nda(UZKm{GpoU-TZtr^_!B!qwJO!W-=~ zJRerbXRyoYqwV-}wn~#0lAwBKu@0w#gUD!k@N>eP$Y} zGs^yV>~6Qs;5%$~;faohjoDwnkJUrl`tNvkth@PM#rpZ5pc~`3YT)^sjWMgRLL)Yg zz4k#iO6n`UVVrc3kL`Qj2gN|IZQs56j_on~1-cBpAe|5IrlTy5U%u`cQht=aEPGY+ z0wHn4gZ*G+?3wYW-dx|$xW-j_UC>9cXJjQE2_H~uk!@@SoH+q=nlj5*#mfnmUc9#~^0dlYs`L zGZtvi-R`~We&MqHo!%aD$>)2Blur^NjiMmDd+@>~T(D#f_j_O|_;CBVEL`}yFbq(3 zJn~`BES`0LYq;;Qch4+X`D)UxQ7YL=7U-9rC^PJh|1ItUMcrZKJ7$#|JyzctU+YJl4rWd?4|X@S6DP%tZOXW9Xubt2`Jh;Uvi(UqNC;n&iOEi%R%}=<=gx7 z!1oUAInoQ-pG{~)Hl}evG3p`TLCBB=z7qBwtCVhuYkHe-++H6fzRz|2@;c_W%#Mcj?xCi+yY`?K#ZNAo5nrFQOe~WYn(sRyr{vDP~dcX|v zveUWa&c(&})?j%!-)&?!@a;fJ#G}O-a^n~@Yz~q>87#0;MbUUw9odO{{2ZkpCSEbY z?=++osS3Iov>x~62kAj3o744}W*%t?yimxAy(6rcU5CVk)>)C(xOc!fjtwDMn8wiC z%{GWnu{-vHZjh$@Vs8M2h6YUQKoev1F@8olQmxVspFRHhCc_K7YGnJ|W+N`p?4umP z7D2b~fmg8u8e1`yjcM9BKKo^VUwYg-mCaJh6lv>tjovT2Ml@~u1Mds%Ow82YZm6M4 zr9I@eu6A7@jGg_)64GbU2B<$3{J{6F{xiP_)g3^+L^#lrH+%AE*IOr1ZZlHbLz|V; z$3voYlJn>8F&$NXXA)a`I4oxrRt0&5XEyB|Ag@+d4SP0Ts}<1-8{LCtYVKsfM6bcG zgtnFg;kwZ#^C*;}YHq)8Ax(C$o>L82a-JSnk9^!st+G|X<4PLd6KhCb7(MZ3Uhbp-MPQrCLb zbO=6#3h{}E3tN2Qkp1%+LkqsT z_?gq;9b4T}U!cS9psyT11Os5FrVX>+0~#;X#C8aozzX0|AUl1e*$5f#ktxM%Ku!zO z3(Vqj#OJh*!J=@lMNiY(uNS-dA(Xz7to%M*I z`6`^#DcgpQ1xv1!3uaMe8;-2ySZu7S_>yQ%%!%7&4`5{}R*3c^-iPG^^}sil zQ-JRVHiI`jYwZYUU8~5k9wmxgPs&Co~QTw;d{_pQ8g=>`}Y=~ zNKfp0JZE%>ytu=)lWf#ebjI)saaZk~uUa$)Tabvp-7mUeuH?TdqD2mg-3jtl-d(p^ z@zO37 z{RsQp&#w%xNZw@o*|q#d_I+VF1@MIY%Qlg2!xGB($;M1KlM(iu{*`+kY*eT@*rYGu zy@BqPcITvH@3(k{S=Qj6w?RuBBRXjjy~68Vu*OSSj~2kDCDe_ogloKPPZ_amE-puEF8 zHPKcnbIj5OubQAI?#SY9+)<=AtSlBcGKTyWQN7tH>w!Mt^kRxGP_*jMzMTiO0nG*n z+yF~+o7;6Zp0n1zvctnQ7D>ehKSL_newZzfO)s5NK39B)Yf6Bg@G;~#HXwO>2j(}*d!DBs6E@J;_?tIP43okV|^a}Ufr46GZHA@pAu)aBCWzo z*pt$+%K-N({q43_kEd$TPs@VQKFH68*-7PrVt-5G-49j_^w}^A**zqa2|^?m`R*W6IyP8w>K;|=7+-9WKd^gt ziW5+awNzjqUq8FvL{@=SF^o^weO!TkR#Hni?uhH;Zk`5E4xI7 zglI9W0xE6{t?Tzr1qAtMVLc2L8VJpW9cs{9fpsZ#^3Y%jxGQNCI>`1_zkm6WPg(>(RDd)BkvHY>VnS?!4u0&IOQIm z+i#u-a)1VtkD-w}RSN}}`?{b1={}`Dzgnr<-@>TSr0J~!TtZeWG@>Q6>+Lkmw*M1i3R#D21`osKPPV# ziLXm^w^iq0L}qItfkc5dErtF4EIjwc>~nGegnFiALI|IQpCqA_t79XB8j<;>kKq16 zWosH5hFyMOnyJ#PrVSO*2!0=tQH_pC?R!|sjjs@)g; zQpQBgTeyYv)!j0dp>c%hd9ZMfkb>rsA{{LTAj*P*=Hf4AXe*+C$JsxG^9(UFnwks)CWaPw`$qV{Wl6voFTkIZ9bH+PW>-ZY56?oDh$N0Onaw&% z*STKzEQXixP!*Y!r4c;bgcy|ev`v0vbDG^^f37RW@3tc`V2_Zww^M34q*YvrO4e+I zXOM*vXcjANsQ0ky!kRskwm4}nq4-nmOpQ}{1{0zv*cIT-qLoT}>t54_W|fvBwmT~e z+2ympsTxi7x3pYoK}VaOHa5;c1pz0M*;9^t>{Ip%C|x{T%%`k&z_q^Bxhs?4t5)6mk1mYIlOMi zJINtICO_<57ymZN5XFz4Ad?SUFxujwqO5C1;aVYk#x;`xN8|yS&v5>J zM!t`nk+#)rP4c?cgx{!DswfnSl{LlZV8h_g!Lr2yW~|v(SJs%U^BrmjcGFjj-E7M^ zBU)W#e<@F3ZIO+Q_Izc-ZfFG~*3HTw2kb3ENq1xy7_y}i+TMbmpAbzYvY;3(n8~=K zEU6evILl9oJ}!|RWXxm>xWRsU=Bub1>9%bvy_2`+UC5ATK z$&W$6i8a^!OK_#ZJ$y(1T#<2bisF#x;Dz&@#gb*)xb+MzpMpVw$rzGuo;ewx^R-)| z9ad73UC2Bu5N#(uJBaTVx2CPYYPCo1 ze=8>lxmu%#n42VOwS47(h(y0&g*ML3&aGL)FO)ZA9PC`FtZSmDfgbUi$QivSiy!ag zq)WusX1p3ZaET~M7_HFL{0tc|v)l?XFqc?6Q41y;<&+ z?UXz-&!I^TPgFS(kRYrXi-Lp0;rtEIek|v*K9m$j=vAxnK zSTJ|cC|>t51*h4M(G75qT9;2)b(yj+uC|YTkjb90H?FOe~)Nb zEGTyj7Q!~1v+tZ~49M0ul-CuB3El7@_WBA}bmD&-#O-!jwQ zkSx`4_`XHzFF7czswn$exJg;ACb5t`8(LAt1+j$PYg>Xz9LZL(2;2#_YFzbNgigo^ z`*0^esYiPA4N%}at^2lO%J5J&AT(=|_jUXNBn;l_LuAG*bG{+whBS(?ppapUr-Wrw z%Kf05S>KW(&A()%!~iQ)l4lo(LAxnimTY}iyj&$4#e~dS;r+@f0RI!7D_I@hrCgt& z0g+1;ZJNAbwH`v<(yoe*F;nW!R7>DIP8^SCk(sUNU6glNTMx5q-J8&WGId1*WBfhp z_Kg3lH;7u-v9XoQCu(JVk@YSU_(@F{Stw*vYERKZ#xb^s$O+5RsR2uxRui7M#_T*lNNh$Rp2#RjzcX zSqb~Ch@7yhJ8-mUPjUi`D7({+83|F_@np9R&>rM^5EBYg3Vhh}jQN|IJs}KbE*BgT zC66fgHkGvadbUzpVfhNiZDd*pPOwN^WQKV1rF_}>ALdcpt=LuE2blIZ=AtxzGl#Bb%Uu#he z6>Tw-37x!>J3xMCtS5Wf>d8XhA2MJTBP85Y<6qU8k)t?9#_yU8iIR=nQ6h56?k@Ki z-c=q7wM^-Q5U~kZfTU-j32F@R17hr&%zL5tU>w*b!`sTJvdBx&1&l>mgpz8C8Np*7 zx9M_qQyGWxTkLbIpkoAGq9%QiD9LrG8_8JL7wmn=F^X|v30Dg$F4x@+2K zp_Ne=X;NFkDi1a4htlU@^{^vAN@FBpE{#N7Z!f=HMi=a}bflQC~;BR&sQwNAYt=Sa%^wlvsGS@FSU&`gvQQqANP%<+z64Ka5G zx|u**Sj8*PeAHi5#x--wuCmwuKIt&HY3QqCbktM4V3tAMQ27Zc^RdR8^MUIQnXQC(N^F93@Y5uE%Q=KMql(kBd3D9 z)bGJ_)^%`%`6$LgW^p5L%y!WD@UmC*6uRg>`wbnj%OQ&k4`7VEQq3tysb*aH=6Zuk zx$23ET==M&u|>s=GP9!!`6%u~KiD?Wj&v*_T3W&L#z$j>iY>@;x>R~HC1&7gjVh+) zvw9@1^olE~M}>^bm&fEK8@`}9q!H`T`?RWzEsVn|Z_wt#h!kCrFKw1d&^pQ~i)e)V z4XW`DUPr|Rip@5+^2=6nr7-WRTXcz~P z_tV}hLR*^h9fczxfBbotL9r?$Wl0*^*|}>r|71|%_OdADhhOI!MY&JbWRaTRU^6#c zTz3GbFtOlU1W46@mt1&*NoH{Sv2S4Q7T*^Nw*jw)BbMAO_} zENLOz>r&NcG-evsWDLH+IgX3ZfeY9>RW(|DNDar+6($?v6N1kTUT9S3-^CSqyjNq- zWzS6-W+Qle!!W3tryHfI>;w0{f((lHdWQ6BT|qUr@c!Zeow5Ij&Uh&Q*0_a^Fg3g} zK3l8C3NUMRt|@&d_FfjU)|Bc>VmKz6@(rA=P?<=OK5k8{~*am(9_rtsyTve&%DlD9u{6Pak^+h zMKsm+MAlRn)TBgBO(K?Fw99}+`d$({uHJhw}dqTr&g zM*>$+Z5L(hx&6K(-mdhj_QoQY(XPK_-z!7Cd@9n$v}TMm881h~HLen)WnX8K0ahO< z$yjF5A}6LuH&8aqg!W1r$qDM(Yc{CzSK|sf%BL<*_RL(_*R~n~&GQ`MG7p*T_Jfe4 z;uu223H1ce*_U1BcX>`p%#45F7D$Pbu9t7rdY33VNUACy*2$=xt$}{h<49h#atn7l z!e`XKX6!g}8uj&QtRD!n2HXI9-fN6in;dO?L+IpdutH~4f+`ccvfw?>9Bh=D=A+u@ zL~TTmvevT^D2wYjJU&qKL+}CF_(Cw0y&@||a^dIM-%Y6gDj9C2g&Jf($f$C*Kt)vv z0i8t~E8MfiJ=LgA8pk|a=owKI;t0y$TJ-M;Q8Vv(lc@YQvS_D8Oo!@-QF2uyb-jQu zid766k1f*hnq==+qOo1mdy0v21=!a)Xf6IMT&LQk_G3I&k`n?KUoFyRBQnyY-weR*g|9 zhj4?c{F~{E3D>o`u6qlAFLY1w2zIuST*gY0PKfu!$OofTl!SfrXvrfxgRHqF=_pQd z56k>Zyf-Rh<(kTTypE?M20RqfVHJnCy#MEzqLl}0XyPEG{7g<@>^9!oz{nl zr1^H_<=%>&IAT6-_1)U7;u!ymk&4=b6+?_+C>y~?aDzHF<)BXz^*E{HgPU|oxuslS zI79yEt2z1m#2sa$mK~GIouJN;+9&HF1j4RsuYQSZ@iWW$oMwmY%BzfHrW}K+W|bAv zZpj`P;g8XACc9Y(`?Ib)P^)GTsEAQPMOeSD7PlP9Bw__!An|JTA|NVPp}?y`PvXcy zwaa)?af@0(W#He@3>D{TV^bjcplq_LZGRrU&$2r#HQH4y+-o9eaZk z@2F=K^kEorx{(@Xn@lkIZ59x`ie#hS)p>kvBH7MrZ8IxYV4y5L<39A!y&8p*t4A%+ zt%6KRqBnFVfa=w~hfXp0w8Awsi{sWRE!JoVFHV{C)DbF{$+O7rW3^_=V-H*=IY739 zsxkA!hCE+o7uC90IYu0%ozM*|CZf)3ij=5w1?-5p5uH^`lhGXqsumuj{(~pVZsB@m z>Veae?W|bhCejT)j~pOL7e=M}2H}>HoE{(8)ia1)@E&BtA-!xsTfm%0T{647NKmAl zyhW8Fv_5}_ z!u+8Ew58p%s;kNKg!8F8!Rpa(NGx)M6@Bx%M!GF1TLbU=Hd=DgA2Vay1^F0p=A~z> zZjVzkYpF9Vo;AA>Szcvb)GXZzT&Q|vqAA78@`TV0WKUVfwQL7v+(fTTot((OGtWMu zBAtji=!hsE+ptfa0JC$ngeO$G*S+1;b@(W=d?78{4=T&O zj7pL3XxF*VsTj0H!uy-Gn9*^5s?0abYgDeNs_=B5a!Ilc&IAur5&rZU64TBB4|Kp^d~B{SBvdFVEzp&bYCzV)ip z7?d4}jG5*KP0t;*cUX50t&aN&i-O#;(u!8x>vkD)h;hU9;-Oo!wSA*ACKd#KTlDYP zOCoFjS$sRIH7%{z()MLsKPBl(}m?2qHBSUka{Jjx8)CNgmfiSKRaX*D%zsB1YU%)q`%>J&2|0tQml_*b)i$`xD1zgU8*-y=B`D9edX1M1nY5Rb(-V^M4m?qnJ-t$ z1u|Q*Ys!GbXTTomJwO`HsG7o3W8cxJ76%SB$Sx_7QsNjzG`TO>1b5+_gZ>mNrpz6q zzD1IXYpUqH^$pk~`YtshN`xw$S}Q=xFLNkE!#*@si5%|*a$qKq9)x%egQq88{~DYapyU$_oZ~Yt!$}r zrOV^?_|J9a~?T3J2-*Oa-Q?V>t;^~+d*H*ZxMc>&cV@xEA3O& z9oJTe6^Zs?)SwfzI66gD!${9PqPBZ0SwgC?kRNz}t$%D)tip3ON~27qP??*}$ka<( z)+YC0qepume&sV;MMfjc*J_mWbu`~n_^(!r6%2i6K)vY9=PL?DYj)a&kgrstU+C}- z2#D!-ReEkx&3ny!jXBnqY)4|8T4~W_wnd%;`D5XG(}1?`p$nj|v_%4gMTM1jt%=Uz zTn@Zqx@%Q>S$ck!QS%*jy4!M=!6;1Gy&RA!+5bev_Sn~(-b0q&a^7E#NJ*&WG0j%m zXccFeYzX%nMp?J_*em*`f(PC#sh$+|N8OoKc zB>dyD>qZ)w$_wJ%%$W9b6Ro`KWowztX^+_PtOYeGRZ z>nL&EN)8#Mq5;r!y76Fli|UDJtgf%yPF}@`Pp@iKU03a+JQ=I;wK{UMAyoq&a7SC& zU_WS9?zNazOuqm!CK+925!+tzJa8TJB}PL$UCUF8tErjj{hF1nqB^}O_cZpr6MpO~ zVV!nFujmNl@{+6Bm4~2ws%3}9tskQDilH*)3?mQD%$9a^DWXcF-kIw7n^%jggRSg& z`V(B`tZk&pCg`(G%@b|F~(WHGEX_ zl{Aw-YgSj(PhM}jPvsOf?2-qzqDB{XomtocgN{gUus6ji^wXesv{hPpZJGBTacV35 zE9GcNrmKUMdJ@N+59{EijQTw?sIlCw8IiFHR(Mg*G@kr8tuj$ii(m`g zc0M;bPFdid9Ar|dDo=!Aw~fkZtV z`V5pYAfcNf-lvGBvS`kA;iOLizDvXiZB=5PN{|OGr))gY?u*ZoO{k11kBePh}9?;?+3#(KD}A+X4@(-7IyOo_CZhdd@Ha-~*+ zD3Ec!Rw&yCA0YcpqcU&h(4$~$yCB()yIx6Natbs*fG5G9bj3weNxs@Fvkf|73yp~N zCEmZL`u@D_w_fwQWbv-o(3y~bQgV*<6LJ|)BBV$}IQCBLg{!P-n|aku%(E`!L8}Hi zV9D0Y;L(*7N=8?;bgS4!I)$nxNg9jetCN-b$yl_}tJ-a!jOUFm;)x4d0Z|^*$?8eQ zY6U9I$!I*6uz6j1M`E)ka?-#i~qGE)C=L%$^OpE16$OmvL;v z&`ruEx=BM@y`T-PB5V&YTb?$bA%9M>2h`1M#bPi|S?jkSGUpzZt6}e}&PPH$*vrbJ z_Pr$TYu(c9ZTR?G=_?WG_WZCFIewiE;x#`3>*qW!@i26FE_pq~g>2`7T_~~KDcYmc;XYthT^cQGgr)6bOc_A{U)?M+W4%s z_X?h1!`J(hlKX)Krb~0QL2K1FZVek|Y?xKpzmSK%OXufh$E}$OztiPGeoc(T+3bw2 zz(c*dOL&laA1MYfA>N_q31^n9P%JS4*`89BMs!;C6w)N*f*bm%ineh!tK|%;vT7Up zaE<9y?meNgkc!LVHAaQ*2^LBiO{kJ6Bk|EA4)}3Z4>0XZ2E~kN)5wG185`G)YgX>{BW$UM}eYZ zCbZVgEs8MP5-DZG*}Phz^h#k}(X>Kz$i9!yhL>uxL)f79*Dxc?ZVEQPRt)>1nt?im z`6}26Ug3V-3!1F`mp_O-s(m zKiPYtEoW%rnZ3)9Gnbkz)vm1A6U90RI=&7a-@RX%`>v`nRvvvE>pBvjGj^0g5iC+& z5x-S+bcqYv9z2Qzu9vaBRUA+jRYWPlem#~9j|m|$l+m2oDk69I>=h4Q*yMhjgt|{((U|q$|kbcBuO8na9WH{s^c-wBhxh@cu zuqFZR;!e&IIOOTV_${wr5;E9ZS|#%%SS3&|JP~P5i;&T~Q0R9+qg^5n7sB>})uMcL z=bm&EK`(DXRK&E(HjEmq@pu+aYPEk zITmF#EMcAZ@=WU%CEDc-F8Ej&kv~oRsE&N0j>KZJ<=@Z&IvDAJ7IkETk<=ot?AdLQ zb5-e)^T$dkUZXq_>!=)2Gv7+P!NWGJKr0>SWdt9P0|_0lVwSHi{bJ`X@tL$}Jc~nqLA2{6 zSIxh+>Uj3Suw&`$=qJ(@Lm0a~u~w1oG+{N!@`5Bcr4di6{P8jem~3k>LMoi9(W0@e z5{6Z*TQT+L!!gMI(3ORgBefgspXyXrvSnixxp_b6f|%Q+m99E=m<8{-PJABo2P{G< zY>@cP2_4mlm8_*MFE(DDURvRexP)O(|@_DD5OWad%g zCd5y$Aj(+#qY+B!Z_`dhM75S~1ywkqxCahUp3YFnwxaK5m6(>?sU%T8x7yIU?^!-i zk~fZn8e=4#G%#8#>il}WP|*KmY2Sl`_AHBoN^vYAbpp^cO-_Mrlr{u9$g=AB4$D29 zZ{hZ3;wN;l?x53XKXQabp(VcOr@Anr$5@|8CePcIbb7oE$tbW5=o75Ifo?~-jn0`F z=1*ECKeP4I6e71hL|>DcEsjzr@aVBIhEuB_UKx*LgR3<$9$k+Wza_nzr;beXPBLDp z;kqDt4pn@8nj z6_QGo`!i}yEh-vqc-1Ee$+s^1_4k|xd;hK^FPwlyMjn8Wby;)AWP;qL-bi#PTndXS zi~||g6o-+aMJ-i`b)etWpHLa5vd5~N?nn26y)y0Sr>kj1i{h@u@AOo|aL%o*t}#p6 zbH>Y*VtH>SP|7;koB;qntzw%A8ZINh_FMMzE#AJp^?3h7eSheJ@o>`4uV^&_O zW=$>|IyjABS+%fjanZ!L+_6$99k$gy3eAMhT z&dhwshn6ErCZ4?qy8xL~dSBjafo^^7Q-tb!vS`SCCEHm!L!1$Y{4V#EXf@PE2ac)Y z$;@U>9~Ws9Ws#F>8sCD&T-5?#d)xY#T~vZ~?7XMFGR{H*mYgS?GGzMed&Mv6KP7=d zyS+mTl&n*NC53f5gU;5SS+`&Bx`+jD72nDbcZ7Uh_@~f4tKP74x~zVBSC!Z172Q$w zlJ}ogHnegKdHOCH(vFmdh-w+>keslmnqL|EgqP=-S7|TsKldzLK2%W$my){M@AXRO5P9)>uUNH#4%wmOLRE)m6lrhvdqpe3hVcF& zE3|?fAV>HW&%lAp=&9;lq{=^?BI{`Dm(l~w&2t!6WJKf=WCUorPRKH9soRFO&SeFQ zNe(m=d0pCblRU^&q#@G9M*ghMrQ$^0c-orp+qupg-o0ChhipXNZg`N;6Zav=F`Ij~ zHrJH-8RZR2-Fk7MOl2}nZH7B;>l7z&6c;8$d zfP@drszPd+*J9T&OZ~0Au5p8M7-csKnZr6Z%#l;1IUP>@DEz#2^(|kw7*&nCjLRg$ zHu_go!+?KE)h&0uqAbbaC2OSHc6Yh9<~2*Rt($#szFMGm-xpR7Ka31&WCy-Hp24y& zNo7b0`q|@1Ro#O7AM2unm;7se>a`>ztRVUQ)#-6UI{k!K^}FUg`*OxQA0t>(e74K`v7ovo zDtFEY)pzniWxqsA3uH|71)@ACw&KA9!-l4y8ZlySasbVx8S6=!acN)2OgF^^d3t#g zqj=jmi5c;Z>a{teNa4huSZnu z%bq98$Ns|cQ;lOdHcWpYj{h(+XaK(o&)YsIKb{Ic|VF{ovXcC)NVP>`5QNQN|{ z4Y_{R8!ZA-(#0eBLaT8Fn{mET#S3|!GHS|Lk2GU#1f$44rqu5@ms53xXT-Ph3K+j!9abHzfHYw8h?C8$JD#`mxXQHF?SE#4qkq zK1}9SpPbi0U#qKB4{Zgn+26^0EEnQh_B`6Y?9$0yTHD9v?!}ehd$I_14*49!QZm8L zsDg`*!2i^b3`y6!gv<`-7zQb1_BOatJbQjZg_LK#ke5P!qAcMkZ_H9v1{7xz>b{j& z7TGo$W9$qOS6!d^s>bY?&@ra;_?_a}A=#7CDJ9}3nIL-rn-`6Ag6yv46hqx5O749m zEo(~IFSbW%jPu$#bG;dR=_e=>r?0Fke2ZQ8wiSud-g2L1z)>Z`n{8i>SDR4|K#TsJ z`;Xis3=;o8VpYN?*#&SSJE;SWM|PafQnRyD6iq>E)lYQWweA8Zp`L02M{I^`!5+bi zSFfhF#Bn%9LCA}<4oNZA$LKvP+ACYhU z{y;eM$~w|(TrS7Nv1MkpNwo0PV0qX{uD;)%4JoZwvl-l`5{0dov$cK|p7YJD4C_S~ z&vinKxCGn)%O+?_WmjVNLpxzswOsL0`ccoaVT9*A8-z7F3paDi$DL*0*_2shZ7F{^Jc5e?z)rT57bR0Hr4q?q)%uYj!Maj>d9hK#l& z+rcs_Spn_+9-dIhZneKTb3(l#z6g!PuKs9ZyJm&&y{&vAeRTNH`e?YNU=> z5;7pG5p%Gg*bCGTnh$6CrG`n!zLb>J=xxSjbv{xR-lq=&`2=G-5wOIBh)u?B4=>ud zW8~lZs#2|UPhOz3YttoZJo(-7fW!V1am>$ZSaIZMs2Go+TGN<+X#I=NqBK<|06Gv590pcWlik>2;oQSH*1E@IK(vn<`_v}$ONJcfL8uCDXM%>2=oEw48Nz)5*U)Um4P}Xq7*NT;LkR0nbO(>>XUcLq()( z*-&xGGvjH{&uzoR*efSXE_Wee>S}@DzZWLg~g?z6Ln_u zdi{t*ks-y?=+-L<#;V8`B-YEB=lgVGQGVC5G09F;8G{Vol$A_g@)p)hb#8jtpN?u* zJ@dl5w(PTFQ}rlhr5HuknTOR4)Z1JqLdI$`tBGm_xt@Nf5f5NY)U`tc2dZl`gjCc{ zHNMFn2KbVYbE-YdOeGZX$Jo?i&V zf;&#AA@)jM^`Z~fn2=i0mv+bdRm8n##gJvS{1tK|Ky4)L*#X|XBxY3iV&nSpHf%Mr z4~l7bhsd_Cr&Tsth4v_2WY_8C!^o{}Ow`LgCVT5of84Wc&mx;!_3P?C6sTR?RNE0{ zMLh01w+nSXipk@!AN+pXq?G^tDD_7^y^@a1KO`Dx zR?sHcef&;d_`Y?4(VkKREqjv=Dx5(T_TBRqJWmv?Secj5iS)nxh%gqzo8vQW?8G1F z%%+Mz;RfvB0vb@wg)CY2Q=Kl1LO>4eZf4G&aIz89B6fLdfMQ@8Xq*j{T~p zfI{QBVzC#jSxmv=Ej`sL$D?+hJ^M#xl*tEs=L=E#MSO^vg-i_7kag5#ofR|O0{et` zHxl`_ozth45CSKsx7EQ?xfHBbd;|G;WKrDa?M9icGx`>I#*|P|CT@#*b$o`|W7$tF_0UwoVD0SvkEuhW3dK>#F zyg<6u*R<}NMSo3Rl5*BOUj+Q88i{5sM2u%xo={J#eeN9~;P`d&=~!K>8;7QpfvvdF zNyrD$-pi+pz0KrNYj@0|s?maF|Dem<*Xv&|~=D?h|0w77BD&+AzO|aP9>$_ zQtS#7BI9F+s!I00!IX-%1>y=UbAdUDkA90h20h~Wqdb0ZJic` z?|_~sJBR0~>rb$f7@1XYMJWZ{Xk;3faS`~UtzOt`vykykM5}TC9mNgwQ_`&=v7wqf zoVRW?5^M}r4ntd<_RCtIuee0wRBP#AWcBIIoA*i1~glIZs_U@`5hu& zhSPTFG17gZ!oNF`!Rs{!}RAkngIkj?%BP(hKpD~}#4*K-6jTU=4T_0G_*>FCI%TG|0 z;v?vcDrSc?G4!F#;jBho+VpXjvgzYVO!2eGbtcP26L7+m6~Aru$aGj@Tv|nN1_Z*`bq~G*xBStA4d+6@|PqMK$87(WXJw z=TOzX_OrCc)$yf@F%6aR@rV{pM_`TN)N$m3jfqtuZ!&{cOxjnnYiXWQZFH=i&Mhb< z8T=22n8or2IVNPwsIRQmhB$AYDQm)bT-j~H2)rv}j9f?m%=C4W89DNauP1E?4Uik> z6`Qr5V<7j(ie;N*1MfAoL>5go@?b5aPeOFoIsjXz9_Tpg8)ocYS`CYYi>w3j712AG zq%tZQohZ-{i=bz6LaM)f${UKZ~7^aI)GU?Vc@yh+>J)S2xt1KYw7JZKnu-=!_xa2&< z%&V$a2H&QRCux-|FN7RLs%||ti`EF)FGj6qQTo1V^x6x0h_K&QgM7I4o&}ik;$C<^5jAu*VlXf+$r=$TSvh}HQ`y%ESSd?VadmyWg zN-I0lK2~kX{xF}@Xj64=niE=)9F^LAyKBw`vTiG_gq+70^RsVbcYMi$+MPig;ukxQ z!uQsXShb3DS`?-vuhU|>t358oO-MCrz`{907LQ~fm942rxMaWAj=DZ5W6QyQ;M}E> z_v==YXwo#QqGPs$+>b1ym&wZYiWT#Ya5jVMJ-Tv*d>Zs-j8MV*lL320TqtNqd#a(1 zCNp-OCcs_xICp9%^d1g2UK|0Mv6OHDWta7%(-&-y^2^wIq7mMfKajs>IL~U=X4fUe zCLP`8+0hn^@uV_>OWmvI5_xYk*|iI}4BIHq3pMNZ#vAG+~mQE|;ilo3isAG4;Ge(MO4U+V@7swcMjB zrXU*NbPc=N1 zgboarr|o-C_VWpPGx+mDqr8fe$QbgK*s?{ds!kkLZl8BybXy|k|CX70a*A(=U6r42!F-#@))D?Md4;6TEjdXvX-%Zja`3}B0u%R82I zV>Fgy9`^xBB>67>RL@V#BZL#EQ}<{HmW9q2sHoEQNW4cF9agYE{Twi6hS%i~L zPotjopYd7{$syh|CPrkdLp`E6&g0Ov_oQ^Jt)28~`QquF(76#zK{x>ZLCJ}5g}QGY zr}yj{t9wEQozJC58>)&y{O)<%vZY;0DHl^&>6UvgTi%`);|r|y85XAHmQ@k$_|{%! z-P$PhcSI-PPf0PI{DlOT6(bKeBKAnCBWQ=tfa+~f*AL9!GCEW%mQL_ht{DB5(v?-S z@t(^LUJqFmS{cbNom3WST|Z?JVNup|EzafH+%=2<3iFBwq84-d8@tag>_rv&_p)Vi zwMKc-f+Bb0u`b=OYkhzF1?{$aGb|ZgF1x%@Jp$Zuv$V^ z7TJ~VMVmlp9}yFtgV>d*Naqw9w>*_#A4Yl*t#anIBt%3ljSIfCrgOgE_MAM~5q{1H zGqsbOi5Xc$1>UX86KcszK9}ArJ7kzF(YY37%}{og87P}h)v?PpI~^=|wJR%2=Vl>i zE1r4S5zEG)dXETf>qf-0w7SBVB(v=KM?;V@BB!E+DCecs{LOdU9)mZnQ=6qU$Q~VA z%ok(y3*%RfOwVC&AFXhZPgbo|;D%Ey`F4A*RqUUa_A;`Ix9EtEFCpC7vq|3jSXl&wb?tSUgHKMCGi9W?2%i>DQ`?k)x%u?4}25EE4eBS27 zwzja#nB}RJkU!~vZ9!BIP^$g>W5 z!tevmgcaoHk(%V}neUGbS=t!E2&9pX?kcLUpHLcWsAI-Yz@RQK-0bB;C%&vdn8DFD8pal}(xTCOpDo!$>pl)KvNal1b*}fWYSUT0*Ng zuHARpGx}SnH4AyeYuF8eGptFmE_P9UO1(}`QLBnNm4izq1yavz%#JNyYj#?wzBO6u z`Q2zjEGleE>~1>oUvhiSu>`G1$VK-Y&N#bc>$)&0Yr`#DNAknYxFH)8Nw_*5i-H&y zSY>m{=G0hacOdi6-5WVb)%>#1iFH^_Qp6z1_`@R7sV5-dZTgSaqRNZjLAKQB%nFfT zpl&T1k?RS!t85iG4d`|AyH5McTu|gB@SIlAJZ)h^x>qA#=G&=PK|uM)mXPrZ9fI?7 zI;8D0dBf7W#~?AFT%Bn)MsKXt9+61}$E3%Wx2{vkJ)guXvQxTA@b^Ccfn`Y$i?I9! z^YX=ol9u%5N3+k71Lmd6@vLK>GEMdhn|eV6SAHAi?wbV0~A>DGFnl`CWuNJ4Fs ziGzj9S%~G|HgdNsgxQSyl4g&b>LF{~>y4-vkmo~|9(iWQJ%J8XKQeY3M0H7A_d$5^ zsIyG3VVSA^5zb-NYV{|!=d3!imV49tZo!d}xN;sU7P+G5>VzrY6GFaTEICuuE8*zA zhIZ3w9Xqmgystcw$T|Ad>-juW!ZPa(Zfu}f9i1_Va2COqT~L-5diSn@85boL5WdrlwN1B!BqFZ4F; zh7ak8B6gowq@g6cpw0ZYlxf7m6iw5-gwbQ)K4}_$$ zSg{bSLk0G9nLh>%cs#)@Uip4V%zHKy)s`p@9Afh>#gK-I+Z|&ah2B&)3VaQ`8;h!% zkExS`l|_f`rkpYM$K*@z2AcD@?Wf4f+EO)kIByC4G6Sp7Ddp^c=(@5XQbP_ImXP8M z^hR6JYqc6^*J-jdiHD&1*Ep2`?o-cdqyfD}scx1u@knQ*tOJElX7ZN7>BuDmHS=DU zvQpU-Rxv&e8c64^DKXW2z|6i(g!{xNmH(UrGD-W zzG%$OwACViQO~-OUFIAmm$t$sEQ`h@1|4$PRo2zk)-qK(>b{7Mg|Y*+LgA{KgH}`v zO4L$W*Th-vp0F2Ce|>e#x6*}LlK z;M{Os=OC$vskn^&HGczSZ63J_+VWm?-WMa_0%ZTFq8B}7p0Q#Q-jgQb4A@hvA=sp* z_)>Z^zm{D>o(GIrwWHvG(mp05L|L^bS$0JjwU1<5ne&!!s=byR=d`GNi;nu&vrBe5 zlk0Au9p5nv@pA1XDeMN>h0$UnPPO(*_6mLlvI8pKry_hSyVSjeCN$~E*;ssU7Ms4? zX8c#POKoCPhI`V}hd#_`+3XP7*{2WcR(>z+4o6ulPk7#g?(F%R`FeUYVA55 zmyqM#YxeiewO5p(;-j)Sz@f0aFbY{cjAqUH!DUuc(!a^yR@cMNSHiQbOA;q>KKd*w zdCon{I=y9w4YLF3Hxuq@zrxsTRoFSbH@@dt#;H|~Q9gZV@eybQnPmNQHv={yjfy0c zd^dlPj_hb6>2%!{eJObqAXOkyWGfUi_|_$7j4dua3y+N4W&e4tQmE(DnFy?0>j*p1 z_3+sIIed@`7eLyf3y5T>#$mpuOdY&nLt7jj84CyK@*Hf^2Zb;LjV+w|VxDvK(p-`Y zR{~W>4%I5QtiO_;VYyMV*1;H^7In&}>Id<48tQzZjCZ%WLv;#hgQOw;o!i}DEjrz` zUUO>vBz#9JglxAHRjQTn?oPg<^c4yI9oNQ@hrGj7b_KXmTl=c`6Y|@#-DKyX^XcBE ziaIPId1zeY($=M&WEW9XP7+yIFJ(z)W*lUeRbC9$VQO;j8HzRF9ize2pH5FXCSUrI z>O1s5632Xol}I%i*LcKeN$;TU_2p-tNo8Iv^kCjEu>wYJI6_q^8@sl9wgYEXpl!j6 zz2i!tBfR1J@&_xO6i{5PlH`Y-Dj<6XZSI=Y{naumgY9}E3(k5L5d~t7;n~G?aXuIV zT!2@s8~~`#kc*Y*1Re85?dW9n8ynPn++*#M4{4r9%yf-$MrF;G)NfQP`AeM_XQgOH zEh?a`Yo>MYuu&;nLq5Uz2-vF)Vy+J*ip&6Qu{za-<$%+i4sZIze zSFiK|%Fa)gnM>B3@&Uqo%04z|QL1Fn#z7WXhOg+sd;*j8$B$))V3WExXV$iz6d^fO zT4qs_=f?xtANtPxSj%ZLu8==!&zE=1`2bKjUIUykQ_U}kdAKS&Mz5~JGsp;R1u}Ci z-eP(}Pw%~I5|RvXEM{ad*6V`I8tqZMW>48p!6V`n4m-!P{{4ZiqFb!B?~x2Xu1n`A z-S&T#tMCOz(4qA%_3nb_qCPeHenc*)JD+Ems6QnAdqKiEsV8`><})(;N3Ka9g!7EV zr=cqkSfKX?1tG&rsyZ&vW5Rv0^EJX{XBBY~?-17G@0Nc^bZtg&eB~V(ieeeuA)<%f zMfXR79oZ%4-OpX}ur&^vAxvHu}&v zCce4!M#^{T?Ne}^s!~cbbscUy67xdrMBfrYv)vH>AUSSbe5_kfy<_u=uPa&(y2>z1 zaSxi-Z3maSik_1<3~$*Gm+c6C*0f1z9cS`Ij~tlhx;9N~fx5M0S89 zBaLo>LBAr;nSB2H#gy2Nyi&f0i_TNHdLG9b7wy0Gj&gr>RmNkN5REB$`52FBKigCN z5x%6$jYpnsbl`nVbIJqiNPuIvLk zS8-rl6fVXqGhWAtqD+o6)=!sYwHXQ}k3KuUQEg?p-*%##P$!TSII1S6 zjhai#<59b#9$6J0K*kpKhjC0T4juQ`Rv9Y@9~K-@rwdQ}w~@T|{;*=g8A_RD84}># zaaU>`oymO;T;^`UkVbfZ>d9^UTv3ZGO*7vXy`pDbuW>kzJPJ$@EyDNmRw z_G@PgZmlC`QSO)@qMQVfmTEUE&3F|K#YUj^=vCQ-*jLm7K^@0bRXzYKwftLha6NbX zHulzCD3kpE&>zBdaHUlg5#c+JIU{*|rl7_rbjgS#1buf8XYC%(m*x`p1&gI)wdB-; z9LsVsd|TAq*D z@9OUF+Sz>qMcWvk@v`ItE2nCGe0)$k)u0_W;@MgyZI)4-kbmZq)M(mz*I~`6+MoV= zL|b;yhRm3nvip{wr>7W?5Lb^VbX-%g8J+S;{#O+%w2|5DSEZYGIuKqPI~@pNO~ zmswWQxzcjjVoT)~fWgvTU$&aXoTz%Tie0=K`d!^m9$;n9de4_Ls4OqgiGX6j)ATr4 z;-B~#h05`^%q_59`LoU~q65#=;&f3vkL|pyiXDC(EuC=YwVi<^8`7R3IbfKe@suiK zN;-&=4(T=qqa`ogI;t8nEzQFz;o)BTfY*J*TDP{c+9ve76DH^xlWw=EGK;92-f6lA z+KUl3A@SNbd=IUZ+bigMkeFmATQrEg#b>A*OIe^`Lvv`&syBR0^1QIh$r}n$AiC01 zf#I}qhF`o(+)%oDku40r(J0nIlXPxHL_uV8kBSYvB6nz4nkp`21SUS~d(dOJK@(n#{1Q)&mWZ_O;#e zmD8z1@~(Aq3pK`ZN8pet6*i!#W&Rl0wAUe`O?Sb&kOPtd$bz}W1Bjwik*@u&c@t3e z22#l6Gj9o*DO6u83gSK*x?l1^(GH@Y^fRLy4|ZUbkTLri9<9?$JRt&G&}!YO8zYht zd`oN&)3)aGVZ$*_dGQgQgZ{7?rK1#okk#eA5M(FBb1>|D4v^c4<*6M;&1kt!-VbTN zM>ZXA@a#p-B`>M*il2TFa|RfdI^|wRE3xJ)PpGW`(rlrOCUDtXiXYZ;MJD7T7~Tg` zwX3+2dIn2Q$O;!W$Z2QN(T*27U6~d`wyBmNU;yca&U&l1pUx{)jL}KoQSYzpfMVUOj#ub= z9#cHC+mY52Yz*vLt|?E14l5mLT=>AKc|W~|w<|tc!P5F@6su^9`F&c?l^ihJ%N>xG zL#VgHfQ(*vt1zZZ(g#TKfRKPUN6OWF$w^@~w7Q;Cpi>;jIdue#C1k;Ydg{GqhsM>^ zd%=6HYA3E{#c7H#I-X_U87;ZAq`uQ>(4#wgE`C8cZ@i+G(8Dc%77A3IR>)@Z+#<{2 z*be<*I5k;FX|1C9Ro)!zxzZjV>FtKVmpL80Svf0p#M2`#^oX%h$830^-^_L;yF(|? zU9as{JzB4v5<7*&G;>7%^`02*+p6u9=vcjI>^x%5egKz4+UV0LO=@0bnSJ8b5JcdG zB_cf|H9rlroXk({wQubMpf{I5%r`{k)z8mXN+9`_Y~EqhIy$E}E6%(7qE z+h89c9pk;yVPx3O$j;lP0{}G&CLxJW1?lb9vb15jW`_CN2pGwE{)@I^JYglueD`ojqbV2jkhUK12gY`nRG|`N-LYKkx=I9^w6!7n@6Bt(ZPE%2Q z&bcS{F!4@ZXhvCS=qIyHbXuXXK#h^{W2+%$bpokJ32lc}c}@RT>zFL7VoClLv3Q