From 1f281d4826c416ec3cf4952d9c1f9409c77a9fa1 Mon Sep 17 00:00:00 2001 From: hachti Date: Mon, 28 May 2007 01:15:15 +0000 Subject: [PATCH] *** empty log message *** --- bin/fboot | 6 +++--- bin/fload | 3 ++- lib/fortran/Makefile | 3 +-- lib/fortran/recipe/flib3.recipe | 1 + lib/fortran/recipe/vpunch.recipe | 4 ++-- lib/fortran/src/f$w4.asm | 7 ++++--- lib/iolib/Makefile | 2 +- programs/mandelbrot/src/apfel4.f | 2 +- programs/punchomat/src/punchomat.f | 12 ++++++++---- 9 files changed, 23 insertions(+), 17 deletions(-) diff --git a/bin/fboot b/bin/fboot index 6a23624..9e43a5c 100755 --- a/bin/fboot +++ b/bin/fboot @@ -15,7 +15,7 @@ echo $objname LIBS="$H316/lib/fortran/lib/flib1.lib\ $H316/lib/fortran/lib/flib2.lib\ $H316/lib/fortran/lib/flib3.lib\ - $H316/lib/iolib/lib/io.lib\ + $H316/lib/iolib/lib/io.lib " @@ -40,8 +40,8 @@ cat >> $locname.go <> $locname.go echo "go" >> $locname.go + echo "! echo loading $library" >> $locname.go done cat >> $locname.go <,40A2) + WRITE (4,1004) PUFF + CALL REBOOT GO TO 666 END $0 -- 2.32.0